Part Number Hot Search : 
5CD09 P4SMA27A UDN6118A A8227 BDX54A 95000 75P52100 0515S
Product Description
Full Text Search
 

To Download DR-235 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  d r - 1 3 5 / d r - 2 3 5 / d r - 4 3 5 ser vice man ual c o n t e n t s s p e c i f i c a t i o n s g e n e r a l . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 t r a n s m i t t e r . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 r e c e i v e r . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 c i r c u i t d e s c r i p t i o n d r - 1 3 5 1 ) r e c e i v e r s y s t e m ( d r - 1 3 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 , 4 2 ) t r a n s m i t t e r s y s t e m ( d r - 1 3 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 , 5 3 ) p l l s y n t h e s i z e r c i r c u i t ( d r - 1 3 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 , 6 4 ) r e c e i v e r s y s t e m ( d r - 2 3 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 , 7 5 ) t r a n s m i t t e r s y s t e m ( d r - 2 3 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 , 8 6 ) p l l s y n t h e s i z e r c i r c u i t ( d r - 2 3 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 , 9 7 ) r e c e i v e r s y s t e m ( d r - 4 3 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9 , 1 0 8 ) t r a n s m i t t e r s y s t e m ( d r - 4 3 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 0 9 ) p l l s y n t h e s i z e r c i r c u i t ( d r - 4 3 5 ) ................................................... 1 1 1 0 ) c p u a n d p e r i p h e r a l c i r c u i t s ( d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 ) . . . . . . . . . . . . . 1 1 , 1 2 1 1 ) p o w e r s u p p l y c i r c u i t . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 3 1 2 ) m 3 8 2 6 m 8 2 6 9 g p ( x a 0 8 1 8 ) ....................................................... 14~16 s e m i c o n d u c t o r d a t a 1 ) m 5 2 1 8 f p ( x a 0 0 6 8 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 7 2 ) n j m 7 8 0 8 f a ( x a 0 1 0 2 ) .................................................................... 1 7 3 ) t c 4 s 6 6 f ( x a 0 1 1 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 7 4 ) t k 1 0 9 3 0 v t l ( x a 0 2 2 3 ) . ................................................................. 1 8 5 ) b u 4 0 5 2 b f ( x a 0 2 3 6 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1 9 6 ) t c 4 w 5 3 f u ( x a 0 3 4 8 ) .................................................................... 1 9 7 ) m 6 4 0 7 6 g p ( x a 0 3 5 2 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 0 8 ) l a 4 4 2 5 a ( x a 0 4 1 0 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 1 9 ) m 6 7 7 4 6 ( x a 0 4 1 2 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 1 1 0 ) m 6 8 7 2 9 ( x a 0 5 9 1 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 2 1 1 ) m 5 7 7 8 8 ( x a 0 0 7 7 a ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 3 1 2 ) m p c 2 7 1 0 t ( x a 0 4 4 9 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 4 1 3 ) n j m 2 9 0 2 ( x a 0 5 9 6 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 4 1 4 ) 2 4 l c 3 2 a ( x a 0 6 0 4 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 5 1 5 ) s - 8 0 8 4 5 a l m p - e a 9 - t 2 ( x a 0 6 2 0 ) ................................................... 2 5 1 6 ) l 8 8 m s 0 5 t l l ( x a 0 6 7 5 ) . ................................................................. 2 5 1 7 ) a n 8 0 1 0 m ( x a 0 1 1 9 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 6 1 8 ) t k 1 0 4 8 9 m ( x a 0 3 1 4 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 6 1 9 ) t r a n s i s t o r , d i o d e , a n d l e d o n t l i n e d r a w i n g s .................................. 2 7 2 0 ) l c d c o n n e c t i o n ( t t r 3 6 2 6 u p f d h n ) ........................................... 2 8 e x p l o d e d v i e w 1 ) t o p a n d f r o n t v i e w . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2 9 2 ) b o t t o m v i e w .................................................................................... 3 0 3 ) lcd assemb ly ................................................................................ 3 1 p a r t s l i s t c p u . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 2 , 3 3 m a i n u n i t ( d r - 1 3 5 ) .................................................................... 33~36 m a i n u n i t ( d r - 2 3 5 ) .................................................................... 36~39 v c o u n i t ( d r - 2 3 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 9 m a i n u n i t ( d r - 4 3 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 2 v c o u n i t ( d r - 4 3 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 2 m e c h a n i c a l p a r t s ............................................................................ 4 3 p a c k i n g p a r t s . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 3 a c c e s s o r i e s . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 3 a c c e s s o r i e s ( s c r e w s e t ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 3 tnc(ej41u) .................................................................................. 4 4 t n c ( e j 4 1 u ) p a c k i n g p a r t s ........................................................... 4 5 d r - 1 3 5 a d j u s t m e n t 1 ) a d j u s t m e n t s p o t ............................................................................ 4 6 2 ) v c o a n d r x a d j u s t m e n t s p e c i f i c a t i o n ........................................... 4 7 3 ) t x a d j u s t m e n t s p e c i f i c a t i o n ............................................................ 4 7 4 ) r x t e s t s p e c i f i c a t i o n . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 8 5 ) t x t e s t s p e c i f i c a t i o n . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 9 d r - 2 3 5 a d j u s t m e n t 1 ) a d j u s t m e n t s p o t ............................................................................ 5 0 2 ) v c o a n d r x a d j u s t m e n t s p e c i f i c a t i o n ........................................... 5 1 3 ) t x a d j u s t m e n t s p e c i f i c a t i o n ............................................................ 5 1 4 ) r x t e s t s p e c i f i c a t i o n . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 2 5 ) t x t e s t s p e c i f i c a t i o n . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 3 d r - 4 3 5 a d j u s t m e n t 1 ) a d j u s t m e n t s p o t ............................................................................ 5 4 2 ) v c o a n d r x a d j u s t m e n t s p e c i f i c a t i o n ........................................... 5 5 3 ) t x a d j u s t m e n t s p e c i f i c a t i o n ............................................................ 5 5 4 ) r x t e s t s p e c i f i c a t i o n . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 6 5 ) t x t e s t s p e c i f i c a t i o n . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 7 p c b o a r d v i e w 1 ) c p u u n i t s i d e a . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 8 2 ) c p u u n i t s i d e b . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 8 3 ) m a i n u n i t s i d e a d r - 1 3 5 ( u p 0 4 0 0 b ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 9 4 ) m a i n u n i t s i d e b d r - 1 3 5 ( u p 0 4 0 0 b ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5 9 5 ) m a i n u n i t s i d e a d r - 2 3 5 ( u p 0 4 1 4 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 0 6 ) m a i n u n i t s i d e b d r - 2 3 5 ( u p 0 4 1 4 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 0 7 ) m a i n u n i t s i d e a d r - 4 3 5 ( u p 0 4 1 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 1 8 ) m a i n u n i t s i d e b d r - 4 3 5 ( u p 0 4 1 5 ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 1 9 ) t n c u n i t s i d e a ( u p 0 4 0 2 ) ( d r - 1 3 5 t p o n l y ) .................................. 6 2 1 0 ) t n c u n i t s i d e b ( u p 0 4 0 2 ) ( d r - 1 3 5 t p o n l y ) .................................. 6 2 s c h e m a t i c d i a g r a m 1 ) c p u u n i t d r - 1 3 5 / d r - 2 3 5 / d r - 4 3 5 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 3 2 ) m a i n u n i t d r - 1 3 5 ........................................................................... 6 4 3 ) m a i n u n i t d r - 2 3 5 ........................................................................... 6 5 4 ) m a i n u n i t d r - 4 3 5 ........................................................................... 6 6 5 ) t n c u n i t ( d r - 1 3 5 t p o n l y ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 7 b l o c k d i a g r a m 1) d r - 1 3 5 ........................................................................................... 6 8 2) d r - 2 3 5 ........................................................................................... 6 9 3) d r - 4 3 5 ........................................................................................... 7 0 alinco ,inc. http://www..net/ datasheet pdf - http://www..net/
2 specifications g e n e r a l f r e q u e n c y c o v e r a g e d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 1 1 8 . 0 0 0 ~ 1 3 5 . 9 9 5 m h z ( a m r x ) 2 1 6 . 0 0 0 ~ 2 7 9 . 9 9 5 m h z ( r x ) 3 5 0 . 0 0 0 ~ 5 1 1 . 9 9 5 m h z ( r x ) 1 3 6 . 0 0 0 ~ 1 7 3 . 9 9 5 m h z ( r x ) 2 2 2 . 0 0 0 ~ 2 2 4 . 9 9 5 m h z ( t x ) 4 3 0 . 0 0 0 ~ 4 4 9 . 9 9 5 m h z ( t x ) 1 4 4 . 0 0 0 ~ 1 4 7 . 9 9 5 m h z ( t x ) 1 4 4 . 0 0 0 ~ 1 4 5 . 9 9 5 m h z ( r x . t x ) 4 3 0 . 0 0 0 ~ 4 3 9 . 9 9 5 m h z ( r x . t x ) t a , t a g 1 1 8 . 0 0 0 ~ 1 3 5 . 9 9 5 m h z ( a m r x ) ( c o m m e r c i a l ) 1 3 6 . 0 0 0 ~ 1 7 3 . 9 9 5 m h z ( r x . t x ) o p e r a t i n g m o d e f r e q u e n c y r e s o l u t i o n n u m b e r o f m e m o r y c h a n n e l s a n t e n n a i m p e d a n c e p o w e r r e q u i r e m e n t g r o u n d m e t h o d c u r r e n t d r a i n r e c e i v e 0 . 6 a ( m a x . ) 0 . 4 a ( s q u e l c h e d ) t r a n s m i t 1 1 . 0 a m a x . 8 . 0 a m a x . 1 0 . 0 a m a x . o p e r a t i n g t e m p e r a t u r e f r e q u e n c y s t a b i l i t y d i m e n s i o n s w e i g h t t r a n s m i t t e r o u t p u t p o w e r h i g h : 5 0 w ( 1 4 4 - 1 4 8 m h z ) h i g h : 2 5 w h i g h : 3 5 w m o r e t h a n 3 3 w ( 1 3 6 - 1 7 4 m h z ) m i d : 1 0 w m i d : 1 0 w m i d : 1 0 w l o w : a p p r o x . 5 w l o w : a p p r o x . 5 w l o w : a p p r o x . 5 w m o d u l a t i o n s y s t e m m a x i m u m f r e q u e n c y d e v i a t i o n s p u r i o u s e m i s s i o n a d j a c e n t c h a n n e l p o w e r n o i s e a n d h u m r a t i o r e c e i v e r s e n s i t i v i t y r e c e i v e r c i r c u i t r y i n t e r m e d i a t e f r e q u e n c y s q u e l c h s e n s i t i v i t y a d j a c e n t c h a n n e l s e l e c t i v i t y i n t e r m o d u r a t i o n r e j e c t i o n r a t i o s p u r i o u s a n d i m a g e r e j e c t i o n r a t i o a u d i o o u t p u t p o w e r ! n o t e : a l l s p e c i f i c a t i o n s a r e s u b j e c t t o c h a n g e w i t h o u t n o t i c e o r o b l i g a t i o n . 2 . 0 w ( 8 , 1 0 % t h d ) 70db 60db - 6 5 d b ( w i d e m o d e ) - 5 5 d b ( n a r r o w m o d e ) - 1 8 d b u d o u b l e c o n v e r s i o n s u p e r h e t e r o d y n e - 1 6 d b u f o r 1 2 d b s i n a d 2k - 4 0 d b ( w i d e m o d e ) - 3 4 d b ( n a r r o w m o d e ) -60db -60db 5 k h z ( w i d e m o d e ) 2 . 5 k h z ( n a r r o w m o d e ) v a r i a b l e r e a c t a n c e f r e q u e n c y m o d u l a t i o n 1 4 2 ( w ) 4 0 ( h ) 1 7 4 ( d ) m m ( 1 4 2 4 0 1 8 8 m m f o r p r o j e c t i o n i n c l u d e d ) a p p r o x . 1 . 0 k g e,eg ( e u r o p e a n a m a t e u r ) t , t g (u.s amateur) - 1 0 t o 6 0 5ppm f m 1 6 k 0 f 3 e ( w i d e m o d e ) 8 k 5 0 f 3 e ( n a r r o w m o d e ) 5 , 8 . 3 3 , 1 0 , 1 2 . 5 , 1 5 , 2 0 , 2 5 , 3 0 , 5 0 k h z 100 5 0 u n b a l a n c e d 1 3 . 8 v d c 1 5 % ( 1 1 . 7 t o 1 5 . 8 v ) n e g a t i v e g r o u n d ?c ?c m i c r o p h o n e i m p e d a n c e 1 s t 2 1 . 7 m h z 2 n d 4 5 0 k h z 1 s t 3 0 . 8 5 m h z 2 n d 4 5 5 k h z 1 s t 3 0 . 8 5 m h z 2 n d 4 5 5 k h z http://www..net/ datasheet pdf - http://www..net/
3 c i r c u i t d e s c r i p t i o n d r - 1 3 5 / d r - 2 3 5 / d r - 4 3 5 1 ) r e c e i v e r s y s t e m ( d r - 1 3 5 ) t h e r e c e i v e r s y s t e m i s a d o u b l e s u p e r h e t e r o d y n e s y s t e m w i t h a 2 1 . 7 m h z f i r s t i f a n d a 4 5 0 k h z s e c o n d i f . 1 . f r o n t e n d t h e r e c e i v e d s i g n a l a t a n y f r e q u e n c y i n t h e 1 3 6 . 0 0 0 m h z t o 1 7 3 . 9 9 5 m h z r a n g e i s p a s s e d t h r o u g h t h e l o w - p a s s f i l t e r ( l 1 1 6 , l 1 1 5 , l 1 1 4 , l 1 1 3 , c 2 0 4 , c 2 0 3 , c 2 0 2 , c 2 1 6 a n d c 2 1 5 ) a n d t u n i n g c i r c u i t ( l 1 0 5 , l 1 0 4 a n d d 1 0 5 , d 1 0 4 ) , a n d a m p l i f i e d b y t h e r f a m p l i f i e r ( q 1 0 7 ) . t h e s i g n a l f r o m q 1 0 7 i s t h e n p a s s e d t h r o u g h t h e t u n i n g c i r c u i t ( l 1 0 3 , l 1 0 2 , a n d v a r i c a p s d 1 0 3 a n d d 1 0 2 ) a n d c o n v e r t e d i n t o 2 1 . 7 m h z b y t h e m i x e r ( q 1 0 6 ) . t h e t u n i n g c i r c u i t , w h i c h c o n s i s t s o f l 1 0 5 , l 1 0 4 , v a r i c a p s d 1 0 5 a n d d 1 0 4 , l 1 0 3 , l 1 0 2 , v a r i c a p s d 1 0 3 a n d d 1 0 2 , i s c o n t r o l l e d b y t h e t r a c k i n g v o l t a g e f o r m t h e v c o . t h e l o c a l s i g n a l f r o m t h e v c o i s p a s s e d t h r o u g h t h e b u f f e r ( i c 1 1 2 ) , a n d s u p p l i e d t o t h e s o u r c e o f t h e m i x e r ( q 1 0 6 ) . t h e r a d i o u s e s t h e l o w e r s i d e o f t h e s u p e r h e t e r o d y n e s y s t e m . 2 . i f c i r c u i t t h e m i x e r m i x e s t h e r e c e i v e d s i g n a l w i t h t h e l o c a l s i g n a l t o o b t a i n t h e s u m o f a n d d i f f e r e n c e b e t w e e n t h e m . t h e c r y s t a l f i l t e r ( x f 1 0 2 , x f 1 0 1 ) s e l e c t s 2 1 . 7 m h z f r e q u e n c y f r o m t h e r e s u l t s a n d e l i m i n a t e s t h e s i g n a l s o f t h e u n w a n t e d f r e q u e n c i e s . t h e f i r s t i f a m p l i f i e r ( q 1 0 5 ) t h e n a m p l i f i e s t h e s i g n a l o f t h e s e l e c t e d f r e q u e n c y . 3 . d e m o d u l a t o r c i r c u i t a f t e r t h e s i g n a l i s a m p l i f i e d b y t h e f i r s t i f a m p l i f i e r ( q 1 0 5 ) , i t i s i n p u t t o p i n 2 4 o f t h e d e m o d u l a t o r i c ( i c 1 0 8 ) . t h e s e c o n d l o c a l s i g n a l o f 2 1 . 2 5 m h z ( s h a r e d w i t h p l l i c r e f e r e n c e o s c i l l a t i o n ) , w h i c h i s o s c i l l a t e d b y t h e i n t e r n a l o s c i l l a t i o n c i r c u i t i n i c 1 1 6 a n d c r y s t a l ( x 1 0 3 ) , i s i n p u t t h r o u g h p i n 1 o f i c 1 0 8 . t h e n , t h e s e t w o s i g n a l s a r e m i x e d b y t h e i n t e r n a l m i x e r i n i c 1 0 8 a n d t h e r e s u l t i s c o n v e r t e d i n t o t h e s e c o n d i f s i g n a l w i t h a f r e q u e n c y o f 4 5 0 k h z . t h e s e c o n d i f s i g n a l i s o u t p u t f r o m p i n 3 o f i c 1 0 8 t o t h e c e r a m i c f i l t e r ( f l 1 0 1 o r f l 1 0 2 ) , w h e r e t h e u n w a n t e d f r e q u e n c y b a n d o f t h a t s i g n a l i s e l i m i n a t e d , a n d t h e r e s u l t i n g s i g n a l i s s e n t b a c k t o t h e i c 1 0 8 t h r o u g h p i n s 5 . the second if signal input via pin 5 is demodulated b y the inter nal limiter a m p l i f i e r a n d q u a d r a t u r e d e t e c t i o n c i r c u i t i n i c 1 0 8 , a n d o u t p u t a s a n a u d i o s i g n a l t h r o u g h p i n 1 2 . 4 . a u d i o c i r c u i t t h e a u d i o s i g n a l f r o m p i n 1 2 o f i c 1 0 8 i s a m p l i f i e d b y t h e a u d i o a m p l i f i e r ( i c 1 0 4 : a ) , a n d s w i t c h e d b y t h e s i g n a l s w i t c h i c ( i c 1 1 1 ) a n d t h e n i n p u t i t t o t h e d e - e m p h a s i s c i r c u i t . a n d i s c o m p e n s a t e d t o t h e a u d i o f r e q u e n c y c h a r a c t e r i s t i c s i n t h e d e - e m p h a s i s c i r c u i t ( r 2 0 3 , r 2 0 7 , r 2 1 3 , r 2 0 9 , c 1 9 1 , c 2 1 8 , c 2 1 7 ) a n d a m p l i f i e d b y t h e a f a m p l i f i e r ( i c 1 0 4 : d ) . t h e s i g n a l i s t h e n i n p u t t o v o l u m e ( v r 1 ) . t h e a d j u s t e d s i g n a l i s s e n t t o t h e a u d i o p o w e r a m p l i f i e r ( i c 1 1 7 ) t h r o u g h p i n 1 t o d r i v e t h e s p e a k e r . http://www..net/ datasheet pdf - http://www..net/
4 5 . s q u e l c h c i r c u i t t h e d e t e c t e d o u t p u t w h i c h i s o u t p u t t e d f r o m t h e p i n 1 2 o f i c 1 0 8 i s i n p u t t e d t o p i n 1 9 o f i c 1 0 8 a f t e r i t w a s b e e n a m p l i f i e d b y i c 1 0 4 : a a n d i t i s o u t p u t t e d f r o m p i n 2 0 a f t e r t h e n o i s e c o m p o n e n t w a s b e e n e l i m i n a t e d f r o m t h e c o m p o s e d b a n d p a s s f i l t e r i n t h e b u i l t i n a m p l i f i e r o f t h e i c , t h e n t h e s i g n a l i s r e c t i f i e d b y d 1 0 6 t o c o n v e r t i n t o d c c o m p o n e n t . t h e a d j u s t e d v o l t a g e l e v e l a t v r 1 0 1 i s d e l i v e r e d t o t h e c o m p a r a t o r o f t h e c p u . t h e v o l t a g e i s l e d t o p i n 2 o f c p u a n d c o m p a r e d w i t h t h e s e t t i n g v o l t a g e . t h e s q u e l c h w i l l o p e n i f t h e i n p u t v o l t a g e i s l o w e r t h a n t h e s e t t i n g v o l t a g e . d u r i n g o p e n s q u e l c h , p i n 3 0 ( s q c ) o f t h e c p u b e c o m e s " l " l e v e l , a f c o n t r o l s i g n a l i s b e i n g c o n t r o l l e d a n d s o u n d s i s o u t p u t t e d f r o m t h e s p e a k e r . ) 6 . a i r b a n d r e c e p t i o n ( t o n l y ) w h e n t h e f r e q u e n c y i s w i t h i n 1 1 8 ~ 1 3 5 . 9 9 5 m h z , q 1 1 0 a u t o m a t i c a l l y t u r n s o n , p i n 1 4 o f i c 1 0 8 b e c o m e s " l " l e v e l a n d t h e c o n d i t i o n b e c o m e s i n a m d e t e c t i o n m o d e . t h e r e c e i v e r s i g n a l p a s s e d t h r o u g h t h e d u p l e x e r i s l e t t o t h e a n t e n n a s w i t c h ( d 1 0 7 , d 1 0 1 ) . a f t e r p a s s i n g t h r o u g h t h e b a n d - p a s s f i l t e r , t h e s i g n a l i s a m p l i f i e d b y r f a m p l i f i e r q 1 1 2 . s e c o n d l y t h e s i g n a l i s m i x e d w i t h t h e s i g n a l f r o m t h e f i r s t l o c a l o s c i l l a t o r i n t h e f i r s t - m i x e r q 1 0 6 , t h e n c o n v e r t e d i n t o t h e f i r s t i f . i t s u n w a n t e d s i g n a l i s l e t t o i c 1 0 6 , p i n 2 4 . t h e n c o n v e r t e d i n t o t h e s e c o n d i f . a n d i s d e m o d u l a t e d b y a m d e c o d e r o f i c 1 0 6 , a n d i s o u t p u t f r o m p i n 1 3 a s t h e a f s i g n a l . 7 . w i d e / n a r r o w s w i t c h i n g c i r c u i t t h e 2 n d i f 4 5 0 k h z s i g n a l w h i c h p a s s e s t h r o u g h f i l t e r f l 1 0 1 ( w i d e ) a n d f l 1 0 2 ( n a r r o w ) d u r i n g n a r r o w , c h a n g e s i t s w i d t h u s i n g t h e w i d t h c o n t r o l s w i t c h i n g i c 1 0 3 a n d i c 1 0 2 . 2 ) t r a n s m i t t e r s y s t e m ( d r - 1 3 5 ) 1 . m o d u l a t o r c i r c u i t t h e a u d i o s i g n a l i s c o n v e r t e d t o a n e l e c t r i c a l s i g n a l b y t h e m i c r o p h o n e , a n d i n p u t i t t o t h e m i c r o p h o n e a m p l i f i e r ( q 6 ) . a m p l i f i e d s i g n a l w h i c h p a s s e s t h r o u g h m i c - m u t e c o n t r o l i c 1 0 9 i s a d j u s t e d t o a n a p p r o p r i a t e m i c - v o l u m e b y m e a n s o f m i c - g a i n a d j u s t v r 1 0 6 . i c 1 1 4 : a a n d b c o n s i s t s o f t w o o p e r a t i o n a l a m p l i f i e r s ; o n e a m p l i f i e r ( p i n s 1 , 2 , a n d 3 ) i s c o m p o s e d o f p r e - e m p h a s i s a n d i d c c i r c u i t s a n d t h e o t h e r ( p i n s 5 , 6 , a n d 7 ) i s c o m p o s e d o f a s p l a t t e r f i l t e r . t h e m a x i m u m f r e q u e n c y d e v i a t i o n i s o b t a i n e d b y v r 1 0 7 . a n d i n p u t t o t h e s i g n a l s w i t c h ( i c 1 1 3 ) ( 9 6 0 0 b p s p a c k e t signal input s witch) and input to the cathode of the v a ricap of the vco , to c h a n g e t h e e l e c t r i c c a p a c i t y i n t h e o s c i l l a t i o n c i r c u i t . t h i s p r o d u c e s t h e f r e q u e n c y m o d u l a t i o n . http://www..net/ datasheet pdf - http://www..net/
5 2 . p o w e r a m p l i f i e r c i r c u i t t h e t r a n s m i t t e d s i g n a l i s o s c i l l a t e d b y t h e v c o , a m p l i f i e d b y t h e d r i v e a m p l i f i e r ( i c 1 1 2 ) a n d y o u n g e r a m p l i f i e r ( q 1 1 5 ) , a n d i n p u t t o t h e f i n a l p o w e r m o d u l e ( i c 1 1 0 ) . t h e s i g n a l i s t h e n a m p l i f i e d b y t h e f i n a l p o w e r m o d u l e ( i c 1 1 0 ) a n d l e d t o t h e a n t e n n a s w i t c h ( d 1 1 0 ) a n d l o w - p a s s f i l t e r ( l 1 1 3 , l 1 1 4 , l 1 1 5 , l 1 1 6 , c 2 1 5 , c 2 1 6 , c 2 0 2 , c 2 0 3 a n d c 2 0 4 ) , w h e r e u n w a n t e d h i g h h a r m o n i c w a v e s a r e r e d u c e d a s n e e d e d , a n d t h e r e s u l t i n g s i g n a l i s s u p p l i e d t o t h e a n t e n n a . 3 . a p c c i r c u i t p a r t o f t h e t r a n s m i s s i o n p o w e r f r o m t h e l o w - p a s s f i l t e r i s d e t e c t e d b y d 1 1 1 a n d d 1 1 2 , c o n v e r t e d t o d c . t h e d e t e c t i o n v o l t a g e i s p a s s e d t h r o u g h t h e a p c c i r c u i t ( q 1 1 8 , q 1 1 7 , q 1 1 6 ) , t h e n i t c o n t r o l s t h e a p c v o l t a g e s u p p l i e d t o t h e y o u n g e r a m p l i f i e r q 1 1 5 a n d t h e f i n a l p o w e r m o d u l e i c 1 1 0 t o f i x t h e t r a n s m i s s i o n p o w e r . 3 ) p l l s y n t h e s i z e r c i r c u i t ( d r - 1 3 5 ) 1 . p l l t h e d i v i d i n g r a t i o i s o b t a i n e d b y s e n d i n g d a t a f r o m t h e c p u ( i c 1 ) t o p i n 2 a n d s e n d i n g c l o c k p u l s e s t o p i n 3 o f t h e p l l i c ( i c 1 1 6 ) . t h e o s c i l l a t e d s i g n a l f r o m t h e v c o i s a m p l i f i e d b y t h e b u f f e r ( q 1 3 4 a n d q 1 3 5 ) a n d i n p u t t o p i n 1 5 o f i c 1 1 6 . e a c h p r o g r a m m a b l e d i v i d e r i n i c 1 1 6 d i v i d e s t h e f r e q u e n c y o f t h e i n p u t s i g n a l b y n a c c o r d i n g t o t h e f r e q u e n c y d a t a , t o g e n e r a t e a c o m p a r i s o n f r e q u e n c y o f 5 o r 6 . 2 5 k h z . 2 . r e f e r e n c e f r e q u e n c y c i r c u i t t h e r e f e r e n c e f r e q u e n c y a p p r o p r i a t e f o r t h e c h a n n e l s t e p s i s o b t a i n e d b y d i v i d i n g t h e 2 1 . 2 5 m h z r e f e r e n c e o s c i l l a t i o n ( x 1 0 3 ) b y 4 2 5 0 o r 3 4 0 0 , a c c o r d i n g t o t h e d a t a f r o m t h e c p u ( i c 1 ) . w h e n t h e r e s u l t i n g f r e q u e n c y i s 5 k h z , c h a n n e l s t e p s o f 5 , 1 0 , 1 5 , 2 0 , 2 5 , 3 0 , a n d 5 0 k h z a r e u s e d . w h e n i t i s 6 . 2 5 k h z , t h e 1 2 . 5 k h z c h a n n e l s t e p i s u s e d . 3 . p h a s e c o m p a r a t o r c i r c u i t t h e p l l ( i c 1 1 6 ) u s e s t h e r e f e r e n c e f r e q u e n c y , 5 o r 6 . 2 5 k h z . t h e p h a s e c o m p a r a t o r i n t h e i c 1 1 6 c o m p a r e s t h e p h a s e o f t h e f r e q u e n c y f r o m t h e v c o w i t h t h a t o f t h e c o m p a r i s o n f r e q u e n c y , 5 o r 6 . 2 5 k h z , w h i c h i s o b t a i n e d b y t h e i n t e r n a l d i v i d e r i n i c 1 1 6 . 4 . p l l l o o p f i l t e r c i r c u i t i f a p h a s e d i f f e r e n c e i s f o u n d i n t h e p h a s e c o m p a r i s o n b e t w e e n t h e r e f e r e n c e f r e q u e n c y a n d v c o o u t p u t f r e q u e n c y , t h e c h a r g e p u m p o u t p u t ( p i n 1 3 ) o f i c 1 1 6 g e n e r a t e s a p u l s e s i g n a l , w h i c h i s c o n v e r t e d t o d c v o l t a g e b y t h e p l l l o o p f i l t e r a n d i n p u t t o t h e v a r i c a p o f t h e v c o u n i t f o r o s c i l l a t i o n f r e q u e n c y c o n t r o l . http://www..net/ datasheet pdf - http://www..net/
6 5 . v c o c i r c u i t a c o l p i t t s o s c i l l a t i o n c i r c u i t d r i v e n b y q 1 3 1 d i r e c t l y o s c i l l a t e s t h e d e s i r e d f r e q u e n c y . t h e f r e q u e n c y c o n t r o l v o l t a g e d e t e r m i n e d i n t h e c p u ( i c 1 ) a n d p l l c i r c u i t i s i n p u t t o t h e v a r i c a p s ( d 1 2 2 a n d d 1 2 3 ) . t h i s c h a n g e t h e o s c i l l a t i o n f r e q u e n c y , w h i c h i s a m p l i f i e d b y t h e v c o b u f f e r ( q 1 3 4 ) a n d o u t p u t f r o m t h e v c o a r e a . 6 . v c o s h i f t c i r c u i t d u r i n g t r a n s m i s s i o n o r t h e a i r b a n d r e c e p t i o n ( 1 1 8 ~ 1 3 6 m h z ) , t h e v c o s h i f t c i r c u i t t u r n s o n q 1 3 8 , c h a n g e c o n t r o l t h e c a p a c i t a n c e o f l 1 2 3 a n d s a f e l y o s c i l l a t e s t h e v c o b y m e a n s o f h s i g n a l f r o m p i n 1 6 o f i c 1 1 6 . ) 4 ) r e c e i v e r s y s t e m ( d r - 2 3 5 ) t h e r e c e i v e r s y s t e m i s a d o u b l e s u p e r h e t e r o d y n e s y s t e m w i t h a 3 0 . 8 5 m h z f i r s t i f a n d a 4 5 5 k h z s e c o n d i f . 1 . f r o n t e n d t h e r e c e i v e d s i g n a l a t a n y f r e q u e n c y i n t h e 2 1 6 . 0 0 0 m h z t o 2 7 9 . 9 9 5 m h z r a n g e i s p a s s e d t h r o u g h t h e l o w - p a s s f i l t e r ( l 1 1 6 , l 1 1 5 , l 1 1 4 , l 1 1 3 , c 2 0 4 , c 2 0 3 , c 2 0 2 , c 2 1 6 a n d c 2 1 5 ) a n d t u n i n g c i r c u i t ( l 1 0 5 , l 1 0 4 a n d d 1 0 5 , d 1 0 4 ) , a n d a m p l i f i e d b y t h e r f a m p l i f i e r ( q 1 0 7 ) . t h e s i g n a l f r o m q 1 0 7 i s t h e n p a s s e d t h r o u g h t h e t u n i n g c i r c u i t ( l 1 0 3 , l 1 0 7 , l 1 0 2 , a n d v a r i c a p s d 1 0 3 , d 1 0 7 a n d d 1 0 2 ) a n d c o n v e r t e d i n t o 3 0 . 8 5 m h z b y t h e m i x e r ( q 1 0 6 ) . t h e t u n i n g c i r c u i t , w h i c h c o n s i s t s o f l 1 0 5 , l 1 0 4 , v a r i c a p s d 1 0 5 a n d d 1 0 4 , l 1 0 3 , l 1 0 7 , l 1 0 2 , v a r i c a p s d 1 0 3 , d 1 0 7 a n d d 1 0 2 , i s c o n t r o l l e d b y t h e t r a c k i n g v o l t a g e f o r m t h e v c o . t h e l o c a l s i g n a l f r o m t h e v c o i s p a s s e d t h r o u g h t h e b u f f e r ( q 1 1 2 ) , a n d s u p p l i e d t o t h e s o u r c e o f t h e m i x e r ( q 1 0 6 ) . t h e r a d i o u s e s t h e l o w e r s i d e o f t h e s u p e r h e t e r o d y n e s y s t e m . 2 . i f c i r c u i t t h e m i x e r m i x e s t h e r e c e i v e d s i g n a l w i t h t h e l o c a l s i g n a l t o o b t a i n t h e s u m o f a n d d i f f e r e n c e b e t w e e n t h e m . t h e c r y s t a l f i l t e r ( x f 1 0 2 , x f 1 0 1 ) s e l e c t s 3 0 . 8 5 m h z f r e q u e n c y f r o m t h e r e s u l t s a n d e l i m i n a t e s t h e s i g n a l s o f t h e u n w a n t e d f r e q u e n c i e s . t h e f i r s t i f a m p l i f i e r ( q 1 0 5 ) t h e n a m p l i f i e s t h e s i g n a l o f t h e s e l e c t e d f r e q u e n c y . 3 . d e m o d u l a t o r c i r c u i t a f t e r t h e s i g n a l i s a m p l i f i e d b y t h e f i r s t i f a m p l i f i e r ( q 1 0 5 ) , i t i s i n p u t t o p i n 2 4 o f t h e d e m o d u l a t o r i c ( i c 1 0 8 ) . t h e s e c o n d l o c a l s i g n a l o f 3 0 . 3 9 5 m h z , w h i c h i s o s c i l l a t e d b y t h e i n t e r n a l o s c i l l a t i o n c i r c u i t i n i c 1 0 8 a n d c r y s t a l ( x 1 0 4 ) , i s i n p u t t h r o u g h p i n 1 o f i c 1 0 8 . t h e n , t h e s e t w o s i g n a l s a r e m i x e d b y t h e i n t e r n a l m i x e r i n i c 1 0 8 a n d t h e r e s u l t i s c o n v e r t e d i n t o t h e s e c o n d i f s i g n a l w i t h a f r e q u e n c y o f 4 5 5 k h z . t h e s e c o n d i f s i g n a l i s o u t p u t f r o m p i n 3 o f i c 1 0 8 t o t h e c e r a m i c f i l t e r ( f l 1 0 1 o r f l 1 0 2 ) , w h e r e t h e u n w a n t e d f r e q u e n c y b a n d o f t h a t s i g n a l i s e l i m i n a t e d , a n d t h e r e s u l t i n g s i g n a l i s s e n t b a c k t o t h e i c 1 0 8 t h r o u g h p i n s 5 . the second if signal input via pin 5 is demodulated b y the inter nal limiter a m p l i f i e r a n d q u a d r a t u r e d e t e c t i o n c i r c u i t i n i c 1 0 8 , a n d o u t p u t a s a n a u d i o s i g n a l t h r o u g h p i n 1 2 . http://www..net/ datasheet pdf - http://www..net/
7 4 . a u d i o c i r c u i t t h e a u d i o s i g n a l f r o m p i n 1 2 o f i c 1 0 8 i s a m p l i f i e d b y t h e a u d i o a m p l i f i e r ( i c 1 0 4 : a ) , a n d s w i t c h e d b y t h e s i g n a l s w i t c h i c ( i c 1 1 1 ) a n d t h e n i n p u t i t t o t h e d e - e m p h a s i s c i r c u i t . a n d i s c o m p e n s a t e d t o t h e a u d i o f r e q u e n c y c h a r a c t e r i s t i c s i n t h e d e - e m p h a s i s c i r c u i t ( r 2 0 3 , r 2 0 7 , r 2 1 3 , r 2 0 9 , c 1 9 1 , c 2 1 8 , c 2 1 7 ) a n d a m p l i f i e d b y t h e a f a m p l i f i e r ( i c 1 0 4 : d ) . t h e s i g n a l i s t h e n i n p u t t o v o l u m e ( v r 1 ) . t h e a d j u s t e d s i g n a l i s s e n t t o t h e a u d i o p o w e r a m p l i f i e r ( i c 1 1 7 ) t h r o u g h p i n 1 t o d r i v e t h e s p e a k e r . 5 . s q u e l c h c i r c u i t t h e d e t e c t e d o u t p u t w h i c h i s o u t p u t t e d f r o m t h e p i n 1 2 o f i c 1 0 8 i s i n p u t t e d t o p i n 1 9 o f i c 1 0 8 a f t e r i t w a s b e e n a m p l i f i e d b y i c 1 0 4 : a a n d i t i s o u t p u t t e d f r o m p i n 2 0 a f t e r t h e n o i s e c o m p o n e n t w a s b e e n e l i m i n a t e d f r o m t h e c o m p o s e d b a n d p a s s f i l t e r i n t h e b u i l t i n a m p l i f i e r o f t h e i c , t h e n t h e s i g n a l i s r e c t i f i e d b y d 1 0 6 t o c o n v e r t i n t o d c c o m p o n e n t . t h e a d j u s t e d v o l t a g e l e v e l a t v r 1 0 1 i s d e l i v e r e d t o t h e c o m p a r a t o r o f t h e c p u . t h e v o l t a g e i s l e d t o p i n 2 o f c p u a n d c o m p a r e d w i t h t h e s e t t i n g v o l t a g e . t h e s q u e l c h w i l l o p e n i f t h e i n p u t v o l t a g e i s l o w e r t h a n t h e s e t t i n g v o l t a g e . d u r i n g o p e n s q u e l c h , p i n 3 0 ( s q c ) o f t h e c p u b e c o m e s " l " l e v e l , a f c o n t r o l s i g n a l i s b e i n g c o n t r o l l e d a n d s o u n d s i s o u t p u t t e d f r o m t h e s p e a k e r . ) 6 . a i r b a n d r e c e p t i o n ( t o n l y ) i f i t i s m a d e a i r b a n d r e c e i v i n g m o d e , i f s i g n a l i s d e m o d u l a t e d b y a m d e c o d e r o f i c 1 0 6 , a n d i s o u t p u t f r o m p i n 1 3 a s t h e a f s i g n a l . 7 . w i d e / n a r r o w s w i t c h i n g c i r c u i t t h e 2 n d i f 4 5 5 k h z s i g n a l w h i c h p a s s e s t h r o u g h f i l t e r f l 1 0 1 ( w i d e ) a n d f l 1 0 2 ( n a r r o w ) d u r i n g n a r r o w , c h a n g e s i t s w i d t h u s i n g t h e w i d t h c o n t r o l s w i t c h i n g i c 1 0 3 a n d i c 1 0 2 . 5 ) t r a n s m i t t e r s y s t e m ( d r - 2 3 5 ) 1 . m o d u l a t o r c i r c u i t t h e a u d i o s i g n a l i s c o n v e r t e d t o a n e l e c t r i c a l s i g n a l b y t h e m i c r o p h o n e , a n d i n p u t i t t o t h e m i c r o p h o n e a m p l i f i e r ( q 6 ) . a m p l i f i e d s i g n a l w h i c h p a s s e s t h r o u g h m i c - m u t e c o n t r o l i c 1 0 9 i s a d j u s t e d t o a n a p p r o p r i a t e m i c - v o l u m e b y m e a n s o f m i c - g a i n a d j u s t v r 1 0 6 . i c 1 1 4 : a a n d b c o n s i s t s o f t w o o p e r a t i o n a l a m p l i f i e r s ; o n e a m p l i f i e r ( p i n s 1 , 2 , a n d 3 ) i s c o m p o s e d o f p r e - e m p h a s i s a n d i d c c i r c u i t s a n d t h e o t h e r ( p i n s 5 , 6 , a n d 7 ) i s c o m p o s e d o f a s p l a t t e r f i l t e r . t h e m a x i m u m f r e q u e n c y d e v i a t i o n i s o b t a i n e d b y v r 1 0 7 . a n d i n p u t t o t h e s i g n a l s w i t c h ( i c 1 1 3 ) ( 9 6 0 0 b p s p a c k e t signal input s witch) and input to the cathode of the v a r icap of the vco , to c h a n g e t h e e l e c t r i c c a p a c i t y i n t h e o s c i l l a t i o n c i r c u i t . t h i s p r o d u c e s t h e f r e q u e n c y m o d u l a t i o n . http://www..net/ datasheet pdf - http://www..net/
8 2 . p o w e r a m p l i f i e r c i r c u i t t h e t r a n s m i t t e d s i g n a l i s o s c i l l a t e d b y t h e v c o , a m p l i f i e d b y t h e d r i v e a m p l i f i e r ( i c 1 1 2 ) a n d y o u n g e r a m p l i f i e r ( q 1 1 5 ) , a n d i n p u t t o t h e f i n a l p o w e r m o d u l e ( i c 1 1 0 ) . t h e s i g n a l i s t h e n a m p l i f i e d b y t h e f i n a l p o w e r m o d u l e ( i c 1 1 0 ) a n d l e d t o t h e a n t e n n a s w i t c h ( d 1 1 0 ) a n d l o w - p a s s f i l t e r ( l 1 1 3 , l 1 1 4 , l 1 1 5 , l 1 1 6 , c 2 1 5 , c 2 1 6 , c 2 0 2 , c 2 0 3 a n d c 2 0 4 ) , w h e r e u n w a n t e d h i g h h a r m o n i c w a v e s a r e r e d u c e d a s n e e d e d , a n d t h e r e s u l t i n g s i g n a l i s s u p p l i e d t o t h e a n t e n n a . 3 . a p c c i r c u i t p a r t o f t h e t r a n s m i s s i o n p o w e r f r o m t h e l o w - p a s s f i l t e r i s d e t e c t e d b y d 1 1 1 a n d d 1 1 2 , c o n v e r t e d t o d c . t h e d e t e c t i o n v o l t a g e i s p a s s e d t h r o u g h t h e apc circuit ( q 1 1 8 , q 1 1 7 , q 1 1 6 ) , t h e n i t c o n t r o l s t h e a p c v o l t a g e s u p p l i e d t o t h e y o u n g e r a m p l i f i e r q 1 1 5 a n d t h e f i n a l p o w e r m o d u l e i c 1 1 0 t o f i x t h e t r a n s m i s s i o n p o w e r . 6 ) p l l s y n t h e s i z e r c i r c u i t ( d r - 2 3 5 ) 1 . p l l t h e d i v i d i n g r a t i o i s o b t a i n e d b y s e n d i n g d a t a f r o m t h e c p u ( i c 1 ) t o p i n 2 a n d s e n d i n g c l o c k p u l s e s t o p i n 3 o f t h e p l l i c ( i c 5 0 1 ) . t h e o s c i l l a t e d s i g n a l f r o m t h e v c o i s a m p l i f i e d b y t h e b u f f e r ( q 5 0 4 a n d q 5 0 1 ) a n d i n p u t t o p i n 1 5 o f i c 5 0 1 . e a c h p r o g r a m m a b l e d i v i d e r i n i c 5 0 1 d i v i d e s t h e f r e q u e n c y o f t h e i n p u t s i g n a l b y n a c c o r d i n g t o t h e f r e q u e n c y d a t a , t o g e n e r a t e a c o m p a r i s o n f r e q u e n c y o f 5 o r 6 . 2 5 k h z . 2 . r e f e r e n c e f r e q u e n c y c i r c u i t t h e r e f e r e n c e f r e q u e n c y a p p r o p r i a t e f o r t h e c h a n n e l s t e p s i s o b t a i n e d b y d i v i d i n g t h e 1 2 . 8 m h z r e f e r e n c e o s c i l l a t i o n ( x 1 0 3 ) b y 2 5 6 0 o r 2 0 4 8 , a c c o r d i n g t o t h e d a t a f r o m t h e c p u ( i c 1 ) . w h e n t h e r e s u l t i n g f r e q u e n c y i s 5 k h z , c h a n n e l s t e p s o f 5 , 1 0 , 1 5 , 2 0 , 2 5 , 3 0 , a n d 5 0 k h z a r e u s e d . w h e n i t i s 6 . 2 5 k h z , t h e 1 2 . 5 k h z c h a n n e l s t e p i s u s e d . 3 . p h a s e c o m p a r a t o r c i r c u i t t h e p l l ( i c 5 0 1 ) u s e s t h e r e f e r e n c e f r e q u e n c y , 5 o r 6 . 2 5 k h z . t h e p h a s e c o m p a r a t o r i n t h e i c 5 0 1 c o m p a r e s t h e p h a s e o f t h e f r e q u e n c y f r o m t h e v c o w i t h t h a t o f t h e c o m p a r i s o n f r e q u e n c y , 5 o r 6 . 2 5 k h z , w h i c h i s o b t a i n e d b y t h e i n t e r n a l d i v i d e r i n i c 5 0 1 . 4 . p l l l o o p f i l t e r c i r c u i t i f a p h a s e d i f f e r e n c e i s f o u n d i n t h e p h a s e c o m p a r i s o n b e t w e e n t h e r e f e r e n c e f r e q u e n c y a n d v c o o u t p u t f r e q u e n c y , t h e c h a r g e p u m p o u t p u t ( p i n 1 3 ) o f i c 5 0 1 g e n e r a t e s a p u l s e s i g n a l , w h i c h i s c o n v e r t e d t o d c v o l t a g e b y t h e p l l l o o p f i l t e r a n d i n p u t t o t h e v a r i c a p o f t h e v c o u n i t f o r o s c i l l a t i o n f r e q u e n c y c o n t r o l . http://www..net/ datasheet pdf - http://www..net/
9 5 . v c o c i r c u i t a c o l p i t t s o s c i l l a t i o n c i r c u i t d r i v e n b y q 5 0 3 d i r e c t l y o s c i l l a t e s t h e d e s i r e d f r e q u e n c y . t h e f r e q u e n c y c o n t r o l v o l t a g e d e t e r m i n e d i n t h e c p u ( i c 1 ) a n d p l l c i r c u i t i s i n p u t t o t h e v a r i c a p s ( d 5 0 3 a n d d 5 0 4 ) . t h i s c h a n g e t h e o s c i l l a t i o n f r e q u e n c y , w h i c h i s a m p l i f i e d b y t h e v c o b u f f e r ( q 5 0 4 ) a n d o u t p u t f r o m t h e v c o a r e a . 7 ) r e c e i v e r s y s t e m ( d r - 4 3 5 ) t h e r e c e i v e r s y s t e m i s a d o u b l e s u p e r h e t e r o d y n e s y s t e m w i t h a 3 0 . 8 5 m h z f i r s t i f a n d a 4 5 5 k h z s e c o n d i f . 1 . f r o n t e n d t h e r e c e i v e d s i g n a l a t a n y f r e q u e n c y i n t h e 4 3 0 . 0 0 m h z t o 4 3 9 . 9 9 5 m h z r a n g e i s p a s s e d t h r o u g h t h e l o w - p a s s f i l t e r ( l 1 1 5 , l 1 1 4 , l 1 1 6 , c 2 0 4 , c 2 0 3 , c 2 0 2 , c 2 1 6 a n d c 2 1 5 ) a n d a m p l i f i e d b y t h e r f a m p l i f i e r ( q 1 0 7 ) . t h e s i g n a l f r o m q 1 0 7 i s t h e n p a s s e d t h r o u g h t h e b p f c i r c u i t ( l 1 0 3 , l 1 0 2 ) a n d c o n v e r t e d i n t o 3 0 . 8 5 m h z b y t h e m i x e r ( q 1 0 6 ) . t h e l o c a l s i g n a l f r o m t h e v c o i s p a s s e d t h r o u g h t h e b u f f e r ( q 5 0 3 , q 5 0 4 ) , a n d s u p p l i e d t o t h e s o u r c e o f t h e m i x e r ( q 1 0 6 ) . t h e r a d i o u s e s t h e l o w e r s i d e o f t h e s u p e r h e t e r o d y n e s y s t e m . 2 . i f c i r c u i t t h e m i x e r m i x e s t h e r e c e i v e d s i g n a l w i t h t h e l o c a l s i g n a l t o o b t a i n t h e s u m o f a n d d i f f e r e n c e b e t w e e n t h e m . t h e c r y s t a l f i l t e r ( x f 1 0 1 ) s e l e c t s 3 0 . 8 5 m h z f r e q u e n c y f r o m t h e r e s u l t s a n d e l i m i n a t e s t h e s i g n a l s o f t h e u n w a n t e d f r e q u e n c i e s . t h e f i r s t i f a m p l i f i e r ( q 1 0 5 ) t h e n a m p l i f i e s t h e s i g n a l o f t h e s e l e c t e d f r e q u e n c y . 3 . d e m o d u l a t o r c i r c u i t a f t e r t h e s i g n a l i s a m p l i f i e d b y t h e f i r s t i f a m p l i f i e r ( q 1 0 5 ) , i t i s i n p u t t o p i n 2 0 o f t h e d e m o d u l a t o r i c ( i c 1 0 8 ) . t h e s e c o n d l o c a l s i g n a l o f 3 0 . 8 5 m h z ( c r y s t a l o s c i l l a t o r ) i s i n p u t p i n 1 o f i c 1 0 8 . t h e n , t h e s e t w o s i g n a l s a r e m i x e d b y t h e i n t e r n a l m i x e r i n i c 1 0 8 a n d t h e r e s u l t i s c o n v e r t e d i n t o t h e s e c o n d i f s i g n a l w i t h a f r e q u e n c y o f 4 5 5 k h z . t h e s e c o n d i f s i g n a l i s o u t p u t f r o m p i n 4 o f i c 1 0 8 t o t h e c e r a m i c f i l t e r ( f l 1 0 1 o r f l 1 0 2 ) , w h e r e t h e u n w a n t e d f r e q u e n c y b a n d o f t h a t s i g n a l i s e l i m i n a t e d , a n d t h e r e s u l t i n g s i g n a l i s s e n t b a c k t o t h e i c 1 0 8 t h r o u g h p i n s 6 . the second if signal input via pin 6 is demodulated b y the inter nal limiter a m p l i f i e r a n d q u a d r a t u r e d e t e c t i o n c i r c u i t i n i c 1 0 8 , a n d o u t p u t a s a n a u d i o s i g n a l t h r o u g h p i n 1 1 . 4 . a u d i o c i r c u i t t h e a u d i o s i g n a l f r o m p i n 1 1 o f i c 1 0 8 i s a m p l i f i e d b y t h e a u d i o a m p l i f i e r ( i c 1 0 4 : a ) , a n d s w i t c h e d b y t h e s i g n a l s w i t c h i c ( i c 1 1 1 ) a n d t h e n i n p u t i t t o t h e d e - e m p h a s i s c i r c u i t . a n d i s c o m p e n s a t e d t o t h e a u d i o f r e q u e n c y c h a r a c t e r i s t i c s i n t h e d e - e m p h a s i s c i r c u i t ( r 2 0 3 , r 2 0 7 , r 2 1 3 , r 2 0 9 , c 1 9 1 , c 2 1 8 , c 2 1 7 ) a n d a m p l i f i e d b y t h e a f a m p l i f i e r ( i c 1 0 4 : d ) . t h e s i g n a l i s t h e n i n p u t t o v o l u m e ( v r 1 ) . t h e a d j u s t e d s i g n a l i s s e n t t o t h e a u d i o p o w e r a m p l i f i e r ( i c 1 1 7 ) t h r o u g h p i n 1 t o d r i v e t h e s p e a k e r . http://www..net/ datasheet pdf - http://www..net/
1 0 5 . s q u e l c h c i r c u i t t h e d e t e c t e d o u t p u t w h i c h i s o u t p u t t e d f r o m t h e p i n 1 1 o f i c 1 0 8 i s i n p u t t e d t o p i n 1 3 o f i c 1 0 8 a f t e r i t w a s b e e n a m p l i f i e d b y i c 1 0 4 : a a n d i t i s o u t p u t t e d f r o m p i n 1 4 a f t e r t h e n o i s e c o m p o n e n t w a s b e e n e l i m i n a t e d f r o m t h e c o m p o s e d b a n d p a s s f i l t e r i n t h e b u i l t i n a m p l i f i e r o f t h e i c , t h e n t h e s i g n a l i s r e c t i f i e d b y d 1 0 6 t o c o n v e r t i n t o d c c o m p o n e n t . t h e a d j u s t e d v o l t a g e l e v e l a t v r 1 0 1 i s d e l i v e r e d t o t h e c o m p a r a t o r o f t h e c p u . t h e v o l t a g e i s l e d t o p i n 2 o f c p u a n d c o m p a r e d w i t h t h e s e t t i n g v o l t a g e . t h e s q u e l c h w i l l o p e n i f t h e i n p u t v o l t a g e i s l o w e r t h a n t h e s e t t i n g v o l t a g e . d u r i n g o p e n s q u e l c h , p i n 3 0 ( s q c ) o f t h e c p u b e c o m e s " l " l e v e l , a f c o n t r o l s i g n a l i s b e i n g c o n t r o l l e d a n d s o u n d s i s o u t p u t t e d f r o m t h e s p e a k e r . 6 . w i d e / n a r r o w s w i t c h i n g c i r c u i t t h e 2 n d i f 4 5 5 k h z s i g n a l w h i c h p a s s e s t h r o u g h f i l t e r f l 1 0 1 ( w i d e ) a n d f l 1 0 2 ( n a r r o w ) d u r i n g n a r r o w , c h a n g e s i t s w i d t h u s i n g t h e w i d t h c o n t r o l s w i t c h i n g i c 1 0 3 a n d i c 1 0 2 . 8 ) t r a n s m i t t e r s y s t e m ( d r - 4 3 5 ) 1 . m o d u l a t o r c i r c u i t t h e a u d i o s i g n a l i s c o n v e r t e d t o a n e l e c t r i c a l s i g n a l b y t h e m i c r o p h o n e , a n d i n p u t i t t o t h e m i c r o p h o n e a m p l i f i e r ( q 6 ) . a m p l i f i e d s i g n a l w h i c h p a s s e s t h r o u g h m i c . m u t e c o n t r o l i c 1 0 9 i s a d j u s t e d t o a n a p p r o p r i a t e m i c . v o l u m e b y m e a n s o f m i c . g a i n a d j u s t v r 1 0 6 . i c 1 1 4 : a a n d b c o n s i s t s o f t w o o p e r a t i o n a l a m p l i f i e r s ; o n e a m p l i f i e r ( p i n s 1 , 2 , a n d 3 ) i s c o m p o s e d o f p r e - e m p h a s i s a n d i d c c i r c u i t s a n d t h e o t h e r ( p i n s 5 , 6 , a n d 7 ) i s c o m p o s e d o f a s p l a t t e r f i l t e r . t h e m a x i m u m f r e q u e n c y d e v i a t i o n i s o b t a i n e d b y v r 1 0 7 . a n d i n p u t t o t h e s i g n a l s w i t c h ( i c 1 1 3 ) ( 9 6 0 0 b p s p a c k e t signal input s witch) and input to the cathode of the v a ricap of the vco , to c h a n g e t h e e l e c t r i c c a p a c i t y i n t h e o s c i l l a t i o n c i r c u i t . t h i s p r o d u c e s t h e f r e q u e n c y m o d u l a t i o n . 2 . p o w e r a m p l i f i e r c i r c u i t t h e t r a n s m i t t e d s i g n a l i s o s c i l l a t e d b y t h e v c o , a m p l i f i e d b y t h e d r i v e a m p l i f i e r ( q 1 3 1 , q 1 2 5 ) a n d y o u n g e r a m p l i f i e r ( q 1 1 5 ) , a n d i n p u t t o t h e f i n a l p o w e r m o d u l e ( i c 1 1 0 ) . t h e s i g n a l i s t h e n a m p l i f i e d b y t h e f i n a l p o w e r m o d u l e ( i c 1 1 0 ) a n d l e d t o t h e a n t e n n a s w i t c h ( d 1 1 0 ) a n d l o w - p a s s f i l t e r ( l 1 1 6 , l 1 1 4 , l 1 1 5 , c 2 1 5 , c 2 1 6 , c 2 0 2 , c 2 0 3 a n d c 2 0 4 ) , w h e r e u n w a n t e d h i g h h a r m o n i c w a v e s a r e r e d u c e d a s n e e d e d , a n d t h e r e s u l t i n g s i g n a l i s s u p p l i e d t o t h e a n t e n n a . 3 . a p c c i r c u i t p a r t o f t h e t r a n s m i s s i o n p o w e r f r o m t h e l o w - p a s s f i l t e r i s d e t e c t e d b y d 1 1 1 a n d d 1 1 2 , c o n v e r t e d t o d c . t h e d e t e c t i o n v o l t a g e i s p a s s e d t h r o u g h t h e a p c c i r c u i t ( q 1 1 8 , q 1 1 7 , q 1 1 6 ) , t h e n i t c o n t r o l s t h e a p c v o l t a g e s u p p l i e d t o t h e y o u n g e r a m p l i f i e r q 1 1 5 a n d t h e f i n a l p o w e r m o d u l e i c 1 1 0 t o f i x t h e t r a n s m i s s i o n p o w e r . http://www..net/ datasheet pdf - http://www..net/
1 1 9 ) p l l s y n t h e s i z e r c i r c u i t ( d r - 4 3 5 ) 1 . p l l t h e d i v i d i n g r a t i o i s o b t a i n e d b y s e n d i n g d a t a f r o m t h e c p u ( i c 1 ) t o p i n 2 a n d s e n d i n g c l o c k p u l s e s t o p i n 3 o f t h e p l l i c ( i c 5 0 1 ) . t h e o s c i l l a t e d s i g n a l f r o m t h e v c o i s a m p l i f i e d b y t h e b u f f e r ( q 5 0 3 a n d q 5 0 1 ) a n d i n p u t t o p i n 1 5 o f i c 5 0 1 . e a c h p r o g r a m m a b l e d i v i d e r i n i c 5 0 1 d i v i d e s t h e f r e q u e n c y o f t h e i n p u t s i g n a l b y n a c c o r d i n g t o t h e f r e q u e n c y d a t a , t o g e n e r a t e a c o m p a r i s o n f r e q u e n c y o f 5 o r 6 . 2 5 k h z . 2 . r e f e r e n c e f r e q u e n c y c i r c u i t t h e r e f e r e n c e f r e q u e n c y a p p r o p r i a t e f o r t h e c h a n n e l s t e p s i s o b t a i n e d b y d i v i d i n g t h e 2 1 . 2 5 m h z r e f e r e n c e o s c i l l a t i o n ( x 1 0 3 ) b y 4 2 5 0 o r 3 4 0 0 , a c c o r d i n g t o t h e d a t a f r o m t h e c p u ( i c 1 ) . w h e n t h e r e s u l t i n g f r e q u e n c y i s 5 k h z , c h a n n e l s t e p s o f 5 , 8 . 3 3 , 1 0 , 1 5 , 2 0 , 2 5 , 3 0 , a n d 5 0 k h z a r e u s e d . w h e n i t i s 6 . 2 5 k h z , t h e 1 2 . 5 k h z c h a n n e l s t e p i s u s e d . 3 . p h a s e c o m p a r a t o r c i r c u i t t h e p l l ( i c 5 0 1 ) u s e s t h e r e f e r e n c e f r e q u e n c y , 5 o r 6 . 2 5 k h z . t h e p h a s e c o m p a r a t o r i n t h e i c 5 0 1 c o m p a r e s t h e p h a s e o f t h e f r e q u e n c y f r o m t h e v c o w i t h t h a t o f t h e c o m p a r i s o n f r e q u e n c y , 5 o r 6 . 2 5 k h z , w h i c h i s o b t a i n e d b y t h e i n t e r n a l d i v i d e r i n i c 5 0 1 . 4 . p l l l o o p f i l t e r c i r c u i t i f a p h a s e d i f f e r e n c e i s f o u n d i n t h e p h a s e c o m p a r i s o n b e t w e e n t h e r e f e r e n c e f r e q u e n c y a n d v c o o u t p u t f r e q u e n c y , t h e c h a r g e p u m p o u t p u t ( p i n 1 3 ) o f i c 5 0 1 g e n e r a t e s a p u l s e s i g n a l , w h i c h i s c o n v e r t e d t o d c v o l t a g e b y t h e p l l l o o p f i l t e r a n d i n p u t t o t h e v a r i c a p o f t h e v c o u n i t f o r o s c i l l a t i o n f r e q u e n c y c o n t r o l . 5 . v c o c i r c u i t a c o l p i t t s o s c i l l a t i o n c i r c u i t d r i v e n b y q 5 0 2 d i r e c t l y o s c i l l a t e s t h e d e s i r e d f r e q u e n c y . t h e f r e q u e n c y c o n t r o l v o l t a g e d e t e r m i n e d i n t h e c p u ( i c 1 ) a n d p l l c i r c u i t i s i n p u t t o t h e v a r i c a p s ( d 5 0 2 a n d d 5 0 3 ) . t h i s c h a n g e t h e o s c i l l a t i o n f r e q u e n c y , w h i c h i s a m p l i f i e d b y t h e v c o b u f f e r ( q 5 0 3 , 5 0 4 ) a n d o u t p u t f r o m t h e v c o u n i t . 1 0 ) c p u a n d p e r i p h e r a l c i r c u i t s ( d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 ) 1 . l c d d i s p l a y c i r c u i t t h e c p u t u r n s o n t h e l c d v i a s e g m e n t a n d c o m m o n t e r m i n a l s w i t h 1 / 4 t h e d u t y a n d 1 / 3 t h e b i a s , a t t h e f r a m e f r e q u e n c y i s 6 4 h z . http://www..net/ datasheet pdf - http://www..net/
1 2 2 . d i m m e r c i r c u i t t h e d i m m e r c i r c u i t m a k e s t h e o u t p u t o f p i n 1 3 o f c p u ( i c 1 ) i n t o " h " l e v e l a t s e t m o d e , s o t h a t q 9 a n d q 3 w i l l t u r n o n t o m a k e t h e l a m p c o n t r o l r e s i s t o r r 8 4 s h o r t a n d m a k e i t s i l l u m i n a t i o n b r i g h t . b u t o n t h e o t h e r h a n d , i f t h e d i m m e r c i r c u i t m a k e s p i n 1 3 i n t o " l " l e v e l , q 9 a n d q 3 w i l l t u r n o f f , r 8 4 ' s i l l u m i n a t i o n w i l l b e c o m e d i m m e r a s i t s h a n g o n v o l t a g e f a l l s d o w n i n t h e w o r k i n g l e d ( d 1 1 , d 2 , d 5 , d 3 a n d d 6 ) . 3 . r e s e t a n d b a c k u p w h e n t h e p o w e r f o r m t h e d c c a b l e i n c r e a s e s f r o m c i r c u i t s 0 v t o 2 . 5 o r m o r e , " h " l e v e l r e s e t s i g n a l i s o u t p u t f o r m t h e r e s e t i c ( i c 4 ) t o p i n 3 3 o f t h e c p u ( i c 1 ) , c a u s i n g t h e c p u t o r e s e t . t h e r e s e t s i g n a l , h o w e v e r , w a i t s a t 1 0 0 , a n d d o e s n o t e n t e r t h e c p u u n t i l t h e c p u c l o c k ( x 1 ) h a s s t a b i l i z e d . 4 . s ( s i g n a l ) m e t e r c i r c u i t t h e d c p o t e n t i a l o f p i n 1 6 o f i c 1 0 6 i s i n p u t t o p i n 1 o f t h e c p u ( i c 1 ) , c o n v e r t e d f r o m a n a n a l o g t o a d i g i t a l s i g n a l , a n d d i s p l a y e d a s t h e s - m e t e r s i g n a l o n t h e l c d . 5 . d t m f e n c o d e r t h e c p u ( i c 1 ) i s e q u i p p e d w i t h a n i n t e r n a l d t m f e n c o d e r . t h e d t m f s i g n a l i s o u t p u t f r o m p i n 1 0 , t h r o u g h r 3 5 , r 3 4 a n d r 2 6 1 ( f o r l e v e l a d j u s t m e n t ) , a n d t h e n t h r o u g h t h e m i c r o p h o n e a m p l i f i e r ( i c 1 1 4 : a ) , a n d i s s e n t t o t h e v a r i c a p o f t h e v c o f o r m o d u l a t i o n . a t t h e s a m e t i m e , t h e m o n i t o r i n g t o n e p a s s e s t h r o u g h t h e a f c i r c u i t a n d i s o u t p u t f o r m t h e s p e a k e r . 6 . t o n e e n c o d e r t h e c p u ( i c 1 ) i s e q u i p p e d w i t h a n i n t e r n a l t o n e e n c o d e r . t h e t o n e s i g n a l ( 6 7 . 0 t o 2 5 0 . 3 h z ) i s o u t p u t f r o m p i n 9 o f t h e c p u t o t h e v a r i c a p ( d 1 2 2 a n d d 1 2 3 ) o f t h e v c o f o r m o d u l a t i o n . 7 . d c s e n c o d e r t h e c p u ( i c 1 ) i s e q u i p p e d w i t h a n i n t e r n a l d c s c o d e e n c o d e r . t h e c o d e ( 0 2 3 t o 7 5 4 ) i s o u t p u t f r o m p i n 9 o f t h e c p u t o t h e v a r i c a p ( d 1 2 4 ) o f t h e p l l r e f e r e n c e o s c i l l a t o r . w h e n d c s i s o n , d c s m u t e c i r c u i t ( q 1 2 6 - o n , q 1 3 3 - o n , q 1 3 2 - o f f ) w o r k s . t h e m o d u l a t i o n a c t i v a t e s i n x 1 0 3 s i d e o n l y . 8 . c t c s s , d c s d e c o d e r t h e v o i c e b a n d o f t h e a f o u t p u t s i g n a l f r o m p i n 1 o f i c 1 0 4 : a i s c u t b y s h a r p a c t i v e f i l t e r i c 1 0 4 : b a n d c ( v c v s ) a n d a m p l i f i e d , t h e n l e d t o p i n 4 o f c p u . t h e i n p u t s i g n a l i s c o m p a r e d w i t h t h e p r o g r a m m e d t o n e f r e q u e n c y c o d e i n t h e c p u . t h e s q u e l c h w i l l o p e n w h e n t h e y m a t c h . d u r i n g d c s , q 1 0 8 i s o n , c 1 5 6 i s w o r k i n g a n d c u t o f f f r e q u e n c y i s l o w e r e d . http://www..net/ datasheet pdf - http://www..net/
1 3 1 1 ) p o w e r s u p p l y c i r c u i t w h e n p o w e r s u p p l y i s o n , t h e r e i s a " l " s i g n a l b e i n g i n p u t t e d t o p i n 3 9 ( p s w ) o f c p u w h i c h e n a b l e s t h e c p u t o w o r k . t h e n , " h " s i g n a l i s o u t p u t t e d f r o m t h e p i n 4 1 ( c 5 c ) o f c p u a n d d r i v e s o n t h e p o w e r s u p p l y s w i t c h c o n t r o l q 8 a n d q 7 w h i c h t u r n s t h e 5 v s o n . 5 v s t u r n s o n t h e p l l i c 1 1 6 , m a i n p o w e r s u p p l y s w i t c h q 1 2 7 a n d q 1 2 2 , a f p o w e r i c 1 1 7 a n d t h e 8 v o f a v r ( i c 1 1 5 ) . d u r i n g r e c e p t i o n , p i n 2 9 ( r 5 ) o f c p u o u t p u t s " h " l e v e l , q 1 2 4 i s o n , a n d t h e r e c e p t i o n c i r c u i t s s u p p l i e d b y 8 v . w h i l e d u r i n g t r a n s m i s s i o n , p i n 2 8 ( t 5 ) o f c p u o u t p u t s " l " l e v e l w h i c h i s r e v e r s e b y q 1 1 s o t h a t t h e o u t p u t i n q 1 2 8 w i l l b e " h " l e v e l , q 1 2 3 i s o n , a n d t h e t r a n s m i s s i o n c i r c u i t i s s u p p l i e d b y 8 v . o r , i n t h e c a s e w h e n t h e c o n d i t i o n o f p l l i s u n l o c k , " h " l e v e l i s o u t p u t t e d f r o m p i n 1 4 o f i c 1 0 6 , u n l o c k s w i t c h q 1 2 9 i s o n , t r a n s m i s s i o n s w i t c h q 1 2 8 i s o f f w h i c h m a k e s t h e t r a n s m i s s i o n t o s t o p . 1 . a c c e x t e r n a l p o w e r s u p p l y t e r m i n a l w h e n o p t i o n a l p o w e r s u p p l y c o r d d e c - 3 7 e t c . i s c o n n e c t e d t o t h e e x t e r n a l p o w e r s u p p l y t e r m i n a l j k 1 0 1 , w i t h a c c p o w e r s u p p l y o n , s w i t c h q 1 0 1 w i l l t u r n o n , 5 v o f a v r i c 1 0 1 p i n 2 ( s t b ) b e c o m e s " l " w h i c h m a k e s c 5 v t o t u r n o n . w i t h t h i s , i t c a n t u r n t h e p o w e r s u p p l y o f t h e r a d i o o n . http://www..net/ datasheet pdf - http://www..net/
1 4 1 2 ) m 3 8 2 6 m 8 l 2 6 9 g p ( x a 0 8 1 8 ) c p u t e r m i n a l c o n n e c t i o n ( t o p v i e w ) 2 6 9 http://www..net/ datasheet pdf - http://www..net/
1 5 n o . p i n n a m e f u n c t i o n i / o p u l o g i c d e s c r i p t i o n 1 p67/an7 smt i - a/d s-meter input 2 p66/an6 sql i - a/d noise le v el input f or squelch 3 p65/an5 ba t i - a/d batter y v oltage input 4 p64/an4 tin i - a/d ctcss tone input/dsc code input 5 p63/sclk22/an3 bp1 i - a/d band plan 1 6 p62/sclk21/an2 bp2 i - a/d band plan 2 7 p61/sout2/an1 dcsw o - activ high dcs signal m ute 8 p60/sin2/an0 re2 i - activ lo w rot ary enco der in put 9 p57/adt/d a2 t out o - d/a ctcss tone output/dcs tone output 10 p56/d a1 dout o - d/a dtmf output 11 p55/cntr1 scl o - pulse ser ial cloc k f or eepr om 12 p54/cntr0 tbst o - pulse t one b urst output 13 p53/r tp1 bp4 i - - band plan 4 14 p52/r tp0 mute i/o - activ lo w microphone m ute/secur ity alar m sw 15 p51/pwm3 clk o - pulse ser ial cloc k output f or pll,scr amb le 16 p50/pwm d a t a i/o - pulse 17 p47/sr o y 1 tstb i/o - activ lo w/pulse 18 p46/sclk1 stb o - pulse strobe f or pll ic 19 p45/txd utx o - pulse u a r t data tr ansmission output 20 p44/rxd r t x i - pulse u a r t data reception output 21 p43/ /t out beep i/o - pulse/activ lo w beep tone/band plan 3 2 2 p 4 2 i / n t 2 s e c i - a c t i v h i g h s e c u r i t y v o l t a g e i n p u t 2 3 p 4 1 / i n t 1 r e 1 i - a c t i v l o w r o t a r y e n c o d e r i n p u t 24 p40 dsq i - activ high digital squelch input 25 p77 ptt i - activ lo w ptt input 26 p7 sstb o - pulse/activ lo w strobe signal to scr amb le ic/secur ity mode 27 p75 w/n o - activ lo w w ide narro w sw 28 p74 t5 o - activ lo w tx po w er on/off output 29 p73 r5 o - activ high rx po w er on/off output 30 p72 sqc o - activ lo w sql on/off 31 p71 c/s o - activ lo w digital scr amb le on/off 32 p70/int o b u i - activ lo w bac kup signal detection input 33 reset reset i - activ lo w reset input 34 xcin xcin - - - - 35 xcout xcout - - - - 36 xin xin - - - main cloc k input 37 xout xout - - - main cloc k output 38 vss gnd - - - cpu gnd 39 p27 psw i - a vtiv lo w p o w er s witch input 40 p26 sd a o - pulse ser ial data f or eepr om 41 p25 c5c o - activ high c5v po w er on/off output 42 p24 air o - activ high air band sw / tx middle po w e r 43 p23 lo w o - activ high tx lo w po w e r 44 p22 exp o - activ high t r unking data sw 45 p21 sw6 i activ lo w k e y s w6 (sql) 46 p20 sw5 i activ lo w k e y s w5 (call) 47 p17 sw4 i activ lo w k e y s w4 (tsq) 48 p16 sw3 i activ lo w k e y s w3 (mhz) 49 p15/seg39 sw2 i activ lo w k e y s w2 (v/m) 50 p14/seg38 sw1 i activ lo w k e y s w1 (func) 51 p13/seg37 do wn i activ lo w mic do wn input 52 p12/seg36 dud i - - digital unit detect 53 p11/seg35 scr i activ e lo w scr amb le ic ready signal/p ac k et ptt 54 p10/seg34 up i activ e lo w mic do wn input 55 p07/seg33 s33 o - - lcd segment signal s e r i a l d a t a o u t p u t f o r p l l s c r a m b l e / p l l u n l o c k s i g n a l i n p u t trunking board detection / strobe signal to trunking board http://www..net/ datasheet pdf - http://www..net/
1 6 n o . p i n n a m e f u n c t i o n i / o p u l o g i c d e s c r i p t i o n 5 6 p 0 6 / s e g 3 2 s 3 2 o - - 5 7 p 0 5 / s e g 3 1 s 3 1 o - - 5 8 p 0 4 / s e g 3 0 s 3 0 o - - 5 9 p 0 3 / s e g 2 9 s 2 9 o - - 6 0 p 0 2 / s e g 2 8 s 2 8 o - - 6 1 p 0 1 / s e g 2 7 s 2 7 o - - 6 2 p 0 0 / s e g 2 6 s 2 6 o - - 6 3 p 3 7 / s e g 2 5 s 2 5 o - - 6 4 p 3 6 / s e g 2 4 s 2 4 o - - 6 5 p 3 5 / s e g 2 3 s 2 3 o - - 6 6 p 3 4 / s e g 2 2 s 2 2 o - - 6 7 p 3 3 / s e g 2 1 s 2 1 o - - 6 8 p 3 2 / s e g 2 0 s 2 0 o - - 6 9 p 3 1 / s e g 1 9 s 1 9 o - - 7 0 p 3 0 / s e g 1 8 s 1 8 o - - 7 1 s e g 1 7 s 1 7 o - - 7 2 s e g 1 6 s 1 6 o - - 7 3 s e g 1 5 s 1 5 o - - 7 4 s e g 1 4 s 1 4 o - - 7 5 s e g 1 3 s 1 3 o - - 7 6 s e g 1 2 s 1 2 o - - 7 7 s e g 1 1 s 1 1 o - - 7 8 s e g 1 0 s 1 0 o - - 7 9 s e g 9 s 9 o - - 8 0 s e g 8 s 8 o - - 8 1 s e g 7 s 7 o - - 8 2 s e g 6 s 6 o - - 8 3 s e g 5 s 5 o - - 8 4 s e g 4 s 4 o - - 8 5 s e g 3 s 3 o - - 8 6 s e g 2 s 2 o - - 8 7 s e g 1 s 1 o - - 8 8 s e g 0 s 0 o - - l c d s e g m e n t s i g n a l 89 vcc vdd - - - cpu po w er ter minal 90 vref vref - - - ad con v e r ter po w er supply 91 a vss a vss - - - ad con v e r ter gnd 92 com3 com3 o - - lcd com3 output 93 com2 com2 o - - lcd com2 output 94 com1 com1 o - - lcd com1 output 95 com0 com0 o - - lcd com0 output 96 vl3 vl3 - - - 97 vl2 vl2 - - - l c d p o w e r s u p p l y 98 c2 i - - - - 99 c1 c1 - - - - 100 vl1 vl1 i - a/d lcd po w er supply http://www..net/ datasheet pdf - http://www..net/
1 7 s e m i c o n d u c t o r d a t a 1 ) m 5 2 1 8 f p ( x a 0 0 6 8 ) p o w e r supply plus output 2 in v e r ting input 2 non in v e r ting input 2 8 7 6 5 output 1 in v e r ting input 1 non in v e r ting input 1 p o w e r supply min u s 1 2 3 4 d u a l l o w n o i s e o p e r a t i o n a l a m p l i f i e r s 2 ) n j m 7 8 0 8 f a ( x a 0 1 0 2 ) 1. output 2. common 3. input pin assignment 1 2 3 3 ) t c 4 s 6 6 f ( x a 0 1 1 5 ) bilater al switch http://www..net/ datasheet pdf - http://www..net/
1 8 4 ) t k 1 0 9 3 0 v t l ( x a 0 2 2 3 ) parameter symbol ratings unit supply voltage vcc max 10.0 v power dissipation pd 400 mv storage temperature tstg -55~+150 operating temperature top -30~+75 operating voltage vop 2.5~8.5 v operating frequency fop ~60 mhz ta=25 vcc=3v narro w band fm if ic rf input 0.01 0.033 0.022 0.1 1 f 1 f comp out comp in noise amp output noise amp input am a g c am sw a m l o w c u t rssi out vcc 1k 68k if gnd gnd sq 51 if in 10.7mhz 30k 270k amp + + + + + - 24 23 22 21 20 19 18 17 16 15 14 13 am af out 4.7k af output (am) s det if amp am det a g c fm det fm af out af output (fm) 8.2k vcc 3v 0.1 f 0.1 f 0.1 f 0.1 f 0.1 f am if input mix out 10.245mhz osc(b) 120p vcc osc(e) 0.01 10 f 7bre-7437z lim out decoupling decoupling decoupling fm if input 30k 2.2k q u ad in cfu455d mix osc 33p 1 2 3 4 5 6 7 8 9 1 0 1 1 1 2 parameter symbol min typical max supply current 1 lcc1 6.8 8.9 ma no signal, am on supply current 2 lcc2 3.9 5.3 ma no signal, am off mixer coversion gain mg 20 db mixer input impedance mz 3.6 k dc test fm limiting sensitivity limit 2.0 8.0 v -3.0db output voltage vo1 85 150 230 mvrms 10mvin +/-3khz dev distortion thd1 1.0 2.0 % 10mvin + /-3khz dev output impedance zo 800 10mvin filter gain gf 30 38 db fin=3 0khz, vo=100mv scan control hi voltage sh 2.3 v squelch input =2.5v scan control low voltage sl 0.3 v squelch input=0 v squelch hysteresis hys 30 mv s meter output voltage s0 0.05 0.5 v vin=0mv, rs=68k s meter output voltage s1 0.05 0.5 0.9 v vin=0.01mv, rs=68k s meter output voltage s2 0.7 1.2 1.7 v vin=0.1mv, rs=68k s meter output voltage s3 1.2 1.8 2.5 v vin=1mv, rs=68k s meter output voltage s4 1.6 2.3 2.9 v vin=10mv, rs=68k s meter output voltage s5 1.8 2.4 2.9 v vin=100mv, rs=68k am sensitivity us 20 15 v output voltage vo2 60 120 160 mvrms 1khz, 30%, vin=1mv distortion-1 thd2 1.0 2.0 % 1khz, 30% , vin=1mv distortion-2 thd3 2.0 4.0 % 1khz, 30% , vin=1mv s/n s/n 40 48 db 1kh z, 30%, vin=1mv am off vo -0.3 0.3 % ratings unit condition required input level to get 20mv rms output http://www..net/ datasheet pdf - http://www..net/
1 9 5 ) b u 4 0 5 2 b f ( x a 0 2 3 6 ) analog multiple x er/dem ultiple x e r 6 ) t c 4 w 5 3 f u ( x a 0 3 4 8 ) control input on channel inh l l h a l h * ch0 ch1 none * don't care function t a b l e multiple x er/dem ultiple x e r common inh vee vss vdd ch0 ch1 a http://www..net/ datasheet pdf - http://www..net/
2 0 7 ) m 6 4 0 7 6 g p ( x a 0 3 5 2 ) e q u i v a l e n t c i r c u i t dual pll synthesizer p a r a m e t e r s y m b o l c o n d i t i o n m i n . t y p . m a x . u n i t p o w e r s u p p l y v o l t a g e v c c v i n = - 1 0 d b m l p f s u p p l y v o l t a g e v f l o c a l o s c i l l a t o r i n p u t l e v e l v i n vcc=2.7~5.5v l o c a l o s c i l l a t o r i n p u t f r e q u e n c y f i n vcc=2.7~5.5v x i n i n p u t l e v e l v x i n f x i n = 1 0 ~ 2 5 m h z s i n e w a v e x i n i n p u t f r e q u e n c y f x i n v x i n = 0 . 4 ~ 1 . 4 v p - p v c c = 2 . 7 ~ 5 . 5 v v c c = 2 . 7 ~ 5 . 5 v v i n = - 2 0 ~ - 4 d b m f i n = 8 0 ~ 5 2 0 m h z - 9 1 2 v f i n = 8 0 ~ 5 2 0 m h z 2.7 - 5.5 v -20 - -4 dbm 80 - 520 mhz 0.4 - 1.4 vp-p 10 - 2 5 mhz http://www..net/ datasheet pdf - http://www..net/
2 1 8 ) l a 4 4 2 5 a ( x a 0 4 1 0 ) 4 + + + 1 2 3 4 5 input 1000 f 2.2 f 1000 f 13.2v vcc sp 1 2 5 3 4 vcc=13.2v rl=4 p o =5w gain= 45db la4425 5w a udio p o w er amplifiers t est cir cuit 9 ) m 6 7 7 4 6 ( x a 0 4 1 2 ) rating symbol ratings unit supply v oltage vcc 17 v t otal current icc 20 a input po w e r pin(max) 600 mw output p o w e r p o(max) 70 w oper ation case temper ature tc(op) -30 to + 110 str age temper ature tstg -40 to + 110 144 ~ 148mhz 60w rf power module input terminal (300mw) fin(ground) fin(ground) final dc supply terminal (12.5v) output terminal (60w) 1st stage dc supply terminal(12.5v) zg=zl=50 http://www..net/ datasheet pdf - http://www..net/
2 2 1 0 ) m 6 8 7 2 9 ( x a 0 5 9 1 ) m68729 gnd (fin) 5 1 2 4 3 5 rating s ymbol r atings unit parameter supply v o ltage vcc 1 7 v t o tal current icc 1 0 a input po w e r p in(max) 600 mw output p o w e r p o(max) 4 0 w oper ation case temper ature t c(op) -30 to + 110 output po w e r t o tal efficiency 2nd. har monic 3rd. har monic input vswr str age temper ature t stg -40 to + 110 220 ~ 246mhz 30w rf power module input terminal (300mw) fin(ground) fin(ground) final dc supply terminal (12.5v) output terminal (60w) 1st stage dc supply terminal(12.5v) zg=zl=50 absolute maximum rating(tc = 25 ? c) block diagram 1 2 4 3 5 pin : pin : rf input v cc1 : 1st. dc suppl y v cc2 : 2nd. dc suppl y po : rf output gnd : fin limits unit max min v c c 1 , 2 = 1 2 . 5 v p i n = 3 0 0 m v z g = z l = 5 0 v c c 1 , 2 = 1 5 . 2 v p o = 3 0 w ( p i n = c o n t r o l l e d ) l o a d v s w r = 2 0 : 1 ( a l l p h a s e ) , z g = 5 0 n o d e g r a d a t i o n o r d e s t r o y test conditions 2 2 0 2 4 6 m h z w % d b c d b c - - - - 3 0 - 3 0 3 3 0 4 0 electrical characteristics symbol f po t 2fo 3fo in load vswr toler ance f r equency r ange http://www..net/ datasheet pdf - http://www..net/
2 3 1 1 ) m 5 7 7 8 8 m ( x a 0 0 7 7 ) http://www..net/ datasheet pdf - http://www..net/
2 4 1 2 ) p c 2 7 1 0 t ( x a 0 4 4 9 ) 1000p 1000p 1000p 50 50 2, 3, 5 1 4 6 l: 20.5t. 2mml.d. 0.25uew in out vcc gnd gnd input output gnd vcc t op vie w t est cir cuit rf amplifier p a r a m e t e r s y m b o l c o n d i t i o n r a t i n g s u n i t supply voltage v c c 5 .0 v c i r c u i t c u r r e n t i c c v c c = 5 v , n o s i g n a 2 2 m a power gain g p vcc=5v, f=500mhz 33 db staturated output power po(sat) vcc=5v, f=500mhz, pin=-8dbm +13.5 dbm noise figure n f vcc=5v, f=500mhz 3.5 d b upper frequency (-3db) fu vcc=5v, reference freq. =100mhz 1000 mhz i s o l a t i o n i s l v c c = 5 v , f = 5 0 0 m h z 3 9 d b i n p u t r e t u r n l o s s r l i n v c c = 5 v , f = 5 0 0 m h z 6 d b output return loss rl out vcc=5v, f=500mhz 12 db g a i n f l a t n e s s g p v c c = 5 v , f = 0 . 1 ~ 0 . 6 g h z 0 . 8 d b 1 3 ) n j m 2 9 0 2 ( x a 0 5 9 6 ) 1. a output 8. c output 2. a input 9. c input 3. a input 10. c input 4. v 11. gnd 5. b input 12. d input 6. b input 13. d input 7. b output 14. d output pin assignment http://www..net/ datasheet pdf - http://www..net/
2 5 1 4 ) 2 4 l c 3 2 a ( x a 0 6 0 4 ) a0 a1 a2 vss sd a scl wp vcc name function vss ground a0..a2 user configur ab le chip selects sd a ser ial address/data i/o scl ser ial cloc k wp wr ite protect input vcc 2.5v~6.0v p o w e r supply pdip 1 5 ) s - 8 0 8 4 5 a l m p - e a 9 - t 2 ( x a 0 6 2 0 ) 1 6 ) l 8 8 m s 0 5 t l l ( x a 0 6 7 5 ) 1 1. vin 2. stb 3. gnd 4. cn 5. v o ut 2 3 4 5 pin assignment 5v v oltage regulator with on/off function start-up circuit reference voltage error amp thermal protector short circuit protector on/off control http://www..net/ datasheet pdf - http://www..net/
2 6 1 7 ) a n 8 0 1 0 m ( x a 0 1 1 9 ) 3 1 2 an8010m vin vout 0.33 f 10 f ca output common input t est cir cuit v oltage regulator an8010m 1 8 ) t k 1 0 4 8 9 m ( x a 0 3 1 4 ) 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 amp q u ad det if amp sq uelch nc amp - + s det gnd osc mix vcc vcc + + + http://www..net/ datasheet pdf - http://www..net/
2 7 1 9 ) t r a n s i s t o r , d i o d e , a n d l e d o u t l i n e d r a w i n g s a t1 k52 g s d xg g s d jp c b e fr c b e c b e qy c b e v12 hq g1 g2 d s t op vie w 2sc4245 xt0125 hb c b e 2sc4226 xt0141 r24 c b e 3sk184s xe0013 3rs g1 d s g2 bb rls-73 xd0363 2sa1036k xt0110 2sa1576 xt0094 td k vd d a 204u xd0130 1sv262 xd0300 1sv268 xd0301 1ss355 xd0254 b ld c e 2sa1736 xt0099 1sv214 xd0131 1sv237 xd0141 1ss356 xd0272 2sk508 xe0010 2sk880gr xe0021 br c b e b1292 bce kq c b c e r24 c b e 2sc3356 xt0030 re c b e 2sc3357 xt0048 b c e ba pq c 2sb1132 xt0061 2sb1292f xt0112 2sc2954 xt0084 2sc4081 xt0095 2sc4099 xt0096 2sc4215 xt0124 54 c b e 24 c b e dt a114yu xu0112 dtc114eu xu0131 3sk131v12 xe0028 26 c b e dtc144eu a xu0148 v12 e2 c2 c1/b2 b1 e1 umc3tr xu0047 c5 e2 c2 c1/b2 b1 e1 umc5n xu0152 u1bc44 xd0135 9m b2 c2 c1 e b 1 xp1215 xu0178 2b m d an235u xd0246 dsa3a1 xd0131 ma729 xd0300 -8^2 ma8100 xd0297 a2 udz5. 1b xd 0165 ma304 xd0299 mi7 ma742 xd0250 mi407 xd0013 m1u 64 c b e dtc144yu xu0029 f a 1111c xl0069 f a1111c xl0077 gc http://www..net/ datasheet pdf - http://www..net/
2 8 2 0 ) l c d c o n n e c t i o n ( t t r 3 6 2 6 u p f d h n ) seg32 seg31 seg30 seg29 seg28 seg27 seg26 seg25 seg24 seg23 seg22 seg21 seg20 seg19 seg18 seg17 seg16 seg15 seg14 seg13 seg12 seg11 seg10 seg9 seg8 seg27 seg7 seg6 seg5 seg4 seg3 seg2 seg1 seg0 seg com2 com1 com0 com3 com http://www..net/ datasheet pdf - http://www..net/
2 9 exploded view 1 ) t o p a n d f r o n t v i e w aa0050 dr-135 : dp0127 DR-235 : dp0135 dr-435 : dp0136 fg0273 t . e . t a kz0105 tg. eg. t a g kz0120 nk0073 nk0072 a v0006 a v0006 http://www..net/ datasheet pdf - http://www..net/
3 0 2 ) b o t t o m v i e w ff0015 ae0029 aa0050 a v0006 u a0037y a v0006 az0042 ue0401 yz0131 ux1251 a w0001 a v0006 a v0006 st0065 es0017 fg0320 (dr-135 / 435 only) ux1047 st0066 tg0034 ue0258 t . e . t a ks0068 tg. eg. t a g ks0070 t . e . t a ss0093 tg. eg. t a g ss0095 http://www..net/ datasheet pdf - http://www..net/
3 1 3 ) l c d a s s e m b l y ff0017 nut (ue0035) fm0034 fg0305 cpu bo ard st0064 el0049 tl0024 dg0037 tl0023 nut st0068 http://www..net/ datasheet pdf - http://www..net/
3 2 p a r t s l i s t ref. qty no. d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c1 cu3111 chip c. c1608jb1c104kt-n 1 1 1 c2 cu3111 chip c. c1608jb1c104kt-n 1 1 1 c3 c u 3 0 4 9 c h i p c . c 1 6 0 8 j b 1 e 1 5 3 k t - n s 1 1 1 c4 c u 3 0 4 9 c h i p c . c 1 6 0 8 j b 1 e 1 5 3 k t - n s 1 1 1 c5 cu3111 chip c. c1608jb1c104kt-n 1 1 1 c6 cu3023 chip c. c1608ch1h101jt-as 1 1 1 c7 cu3023 chip c. c1608ch1h101jt-as 1 1 1 c8 cu3043 chip c. c1608jb1h472kt-ns 1 1 1 c9 cu3111 chip c. c1608jb1c104kt-n 1 1 1 c10 cu3043 chip c. c1608jb1h472kt-ns 1 1 1 c11 cu3043 chip c. c1608jb1h472kt-ns 1 1 1 c12 cu3101 chip c. c1608jb1c473kt-ns 1 1 1 c13 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 1 1 c14 cu3014 chip c. c1608ch1h180jt-as 1 1 1 c15 cu3014 chip c. c1608ch1h180jt-as 1 1 1 c16 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 1 1 c17 c s 0 3 7 2 c h i p t a n t a l u m t m c m b 1 c 1 0 6 m t r 1 1 1 c18 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 1 1 c19 cu3111 chip c. c1608jb1c104kt-n 1 1 1 c20 cu3101 chip c. c1608jb1c473kt-ns 1 1 1 c21 cu3047 chip c. c1608jb1h103kt-n 1 1 1 c22 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 1 1 c23 cu3047 chip c. c1608jb1h103kt-n 1 1 1 c24 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 1 1 c25 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 1 1 c26 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 1 1 c27 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 1 1 c28 c s 0 3 9 4 c h i p t a n t a l u m t m c m b 0 j 4 7 6 m t r 1 1 1 c29 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 1 1 c30 c s 0 3 7 2 c h i p t a n t a l u m t m c m b 1 c 1 0 6 m t r 1 1 1 c31 cu3047 chip c. c1608jb1h103kt-n 1 1 1 c32 cu3047 chip c. c1608jb1h103kt-n 1 1 1 cn1 ue0398 connector 20-5082-3010-17-100 1 1 1 cn2 ue0398 connector 20-5082-3010-17-100 1 1 1 cn3 u e 0 0 3 5 m i c c o n n e c t o r f m 2 1 4 - 8 s m p y 1 1 1 d1 x l 0 0 6 9 c h i p l e d f a 1 1 1 1 c - t r 1 1 1 d2 x l 0 0 7 7 c h i p l e d f a 1 1 1 1 c - t r c , d , e r a n k 1 1 1 d3 x l 0 0 7 7 c h i p l e d f a 1 1 1 1 c - t r c , d , e r a n k 1 1 1 d4 x l 0 0 6 9 c h i p l e d f a 1 1 1 1 c - t r 1 1 1 d5 x l 0 0 7 7 c h i p l e d f a 1 1 1 1 c - t r c , d , e r a n k 1 1 1 d6 x l 0 0 7 7 c h i p l e d f a 1 1 1 1 c - t r c , d , e r a n k 1 1 1 d8 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 1 1 d9 x d 0 2 9 1 c h i p d i o d e m a 7 2 9 - t x 1 1 1 d10 x d 0 1 3 5 c h i p d i o d e u 1 b c 4 4 t e 1 2 r 1 1 1 d11 x l 0 0 7 7 c h i p l e d f a 1 1 1 1 c - t r c , d , e r a n k 1 1 1 d12 x d 0 1 6 5 c h i p d i o d e u d z s t e - 1 7 5 . 1 b 1 1 1 d13 x d 0 1 6 5 c h i p d i o d e u d z s t e - 1 7 5 . 1 b 1 1 1 d14 x d 0 2 9 1 c h i p d i o d e m a 7 2 9 - t x 1 1 1 d15 x d 0 1 6 5 c h i p d i o d e u d z s t e - 1 7 5 . 1 b 1 1 1 d16 x d 0 2 9 1 c h i p d i o d e m a 7 2 9 - t x 1 1 1 d17 x d 0 2 9 1 c h i p d i o d e m a 7 2 9 - t x 1 1 1 d19 x d 0 3 6 3 c h i p d i o d e r l s - 7 3 t e - 1 1 1 1 1 ic1 x a 0 8 1 8 c p u m 3 8 2 6 7 m 8 l 2 6 9 g p 1 1 1 ic2 x a 0 6 0 4 i c 2 4 l c 3 2 a t - i / s n 1 1 1 ic4 x a 0 6 2 0 i c s - 8 0 8 4 5 a l m p - e a 9 - t 2 1 1 1 ic5 x a 0 6 7 5 i c l 8 8 m s 0 5 t l l - t l 1 1 1 jk1 u j 0 0 4 7 j a c k h s j 2 0 1 3 - 0 1 - 1 2 0 1 1 1 jp3 wire #30ah1-040-h1 1 1 1 t , t g , e , e g lcd1 e l 0 0 4 9 l c d t t r 3 6 2 6 u p t d h n 1 1 1 q1 xu0029 chip transistor dtc114yua t106 1 1 1 q2 xu0131 chip transistor dtc114eua t106 1 1 1 q3 x t 0 1 1 0 c h i p t r a n s i s t o r 2 s a 1 0 3 6 k t 1 4 6 q 1 1 1 q4 xu0131 chip transistor dtc114eua t106 1 1 1 q5 xu0131 chip transistor dtc114eua t106 1 1 1 q6 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 1 1 q7 x t 0 0 6 1 c h i p t r a n s i s t o r 2 s b 1 1 3 2 t 1 0 0 q 1 1 1 q8 xu0029 chip transistor dtc114yua t106 1 1 1 q9 xu0148 chip transistor dtc144eua t106 1 1 1 q 1 0 xu0131 chip transistor dtc114eua t106 1 1 1 q 1 1 x u 0 1 1 2 c h i p t r a n s i s t o r d t a 1 1 4 y u a t 1 0 6 1 1 1 q 1 2 x u 0 1 1 2 c h i p t r a n s i s t o r d t a 1 1 4 y u a t 1 0 6 1 1 1 q 1 3 x u 0 1 1 2 c h i p t r a n s i s t o r d t a 1 1 4 y u a t 1 0 6 1 1 1 r1 rk3054 chip r. mcr03ezhj223 1 1 1 r2 rk3001 chip r. mcr03ezhj000 - 1 - r4 rk3054 chip r. mcr03ezhj223 1 1 1 r5 rk3050 chip r. mcr03ezhj103 1 1 1 r6 rk3050 chip r. mcr03ezhj103 1 1 1 r7 rk3023 chip r. mcr03ezhj560 1 1 1 r8 rk3023 chip r. mcr03ezhj560 1 1 1 r9 rk3038 chip r. mcr03ezhj102 1 1 1 r10 rk3032 chip r. mcr03ezhj331 1 1 1 parts no. parts name ver d e s c r i p t i o n ref. qty no. d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 r11 rk3046 chip r. mcr03ezhj472 1 1 1 r13 rk3001 chip r. mcr03ezhj000 1 - 1 e ,eg r14 rk3048 chip r. mcr03ezhj682 1 1 1 r15 rk3001 chip r. mcr03ezhj000 - - 1 r16 rk3001 chip r. mcr03ezhj000 1 1 1 t,tg r19 rk3062 chip r. mcr03ezhj104 1 1 1 r20 rk3046 chip r. mcr03ezhj472 1 1 1 r21 rk3030 chip r. mcr03ezhj221 1 1 1 r22 rk3038 chip r. mcr03ezhj102 1 1 1 r23 rk3064 chip r. mcr03ezhj154 1 1 1 r24 rk3050 chip r. mcr03ezhj103 1 1 1 r25 rk3050 chip r. mcr03ezhj103 1 1 1 r26 rk3050 chip r. mcr03ezhj103 1 1 1 r27 rk3050 chip r. mcr03ezhj103 1 1 1 r28 rk3038 chip r. mcr03ezhj102 1 1 1 r29 rk3038 chip r. mcr03ezhj102 1 1 1 r30 rk3038 chip r. mcr03ezhj102 1 1 1 r31 rk3038 chip r. mcr03ezhj102 1 1 1 r32 rk3044 chip r. mcr03ezhj332 1 1 1 r33 rk3034 chip r. mcr03ezhj471 1 1 1 r34 rk3047 chip r. mcr03ezhj562 1 1 1 r35 rk3052 chip r. mcr03ezhj153 1 1 1 r36 rk3062 chip r. mcr03ezhj104 1 1 1 r37 rk3049 chip r. mcr03ezhj822 1 1 1 r38 rk3050 chip r. mcr03ezhj103 1 1 1 r39 rk3058 chip r. mcr03ezhj473 1 1 1 r40 rk3062 chip r. mcr03ezhj104 1 1 1 r41 rk3026 chip r. mcr03ezhj101 1 1 1 r42 rk3050 chip r. mcr03ezhj103 1 1 1 r43 rk3050 chip r. mcr03ezhj103 1 1 1 r44 rk3026 chip r. mcr03ezhj101 1 1 1 r45 rk3050 chip r. mcr03ezhj103 1 1 1 r47 rk3038 chip r. mcr03ezhj102 1 1 1 r48 rk3038 chip r. mcr03ezhj102 1 1 1 r49 rk3038 chip r. mcr03ezhj102 1 1 1 r50 rk3070 chip r. mcr03ezhj474 1 1 1 r51 rk3038 chip r. mcr03ezhj102 1 1 1 r52 rk3038 chip r. mcr03ezhj102 1 1 1 r53 rk3062 chip r. mcr03ezhj104 1 1 1 r54 rk3050 chip r. mcr03ezhj103 1 1 1 r55 rk3074 chip r. mcr03ezhj105 1 1 1 r56 rk3050 chip r. mcr03ezhj103 1 1 1 r57 rk3066 chip r. mcr03ezhj224 1 1 1 r58 rk3034 chip r. mcr03ezhj471 1 1 1 r59 rk3026 chip r. mcr03ezhj101 1 1 1 r60 rk3034 chip r. mcr03ezhj471 1 1 1 r61 rk3074 chip r. mcr03ezhj105 1 1 1 r62 rk3050 chip r. mcr03ezhj103 1 1 1 r63 rk3026 chip r. mcr03ezhj101 1 1 1 r64 rk3046 chip r. mcr03ezhj472 1 1 1 r65 rk3026 chip r. mcr03ezhj101 1 1 1 r66 rk3050 chip r. mcr03ezhj103 1 1 1 r67 rk3026 chip r. mcr03ezhj101 1 1 1 r68 rk3050 chip r. mcr03ezhj103 1 1 1 r69 rk3046 chip r. mcr03ezhj472 1 1 1 r70 rk3062 chip r. mcr03ezhj104 1 1 1 r71 rk3072 chip r. mcr03ezhj684 1 1 1 r72 rk3050 chip r. mcr03ezhj103 1 1 1 r73 rk3032 chip r. mcr03ezhj331 1 1 1 r74 rk3026 chip r. mcr03ezhj101 1 1 1 r75 rk3046 chip r. mcr03ezhj472 1 1 1 r76 rk3032 chip r. mcr03ezhj331 1 1 1 r77 rk3028 chip r. mcr03ezhj151 1 1 1 r79 rk3038 chip r. mcr03ezhj102 1 1 1 r80 rk3038 chip r. mcr03ezhj102 1 1 1 r82 rk3050 chip r. mcr03ezhj103 1 1 1 r83 rk3038 chip r. mcr03ezhj102 1 1 1 r84 rk0008 chip r. erj6geyj330v 1 1 1 r85 rk3046 chip r. mcr03ezhj472 1 1 1 r86 rk3050 chip r. mcr03ezhj103 1 1 1 r87 rk3054 chip r. mcr03ezhj223 1 1 1 r88 rk3050 chip r. mcr03ezhj103 1 1 1 r89 rk3058 chip r. mcr03ezhj473 1 1 1 r90 rk3058 chip r. mcr03ezhj473 1 1 1 r91 rk3050 chip r. mcr03ezhj103 1 1 1 r92 rk3032 chip r. mcr03ezhj331 1 1 1 r95 rk3062 chip r. mcr03ezhj104 1 1 1 r96 rk4014 chip r. erj12yj100u 1 1 1 r97 rk3050 chip r. mcr03ezhj103 1 1 1 re1 u r 0 0 1 5 d i a l r h 9 0 n 7 4 e 2 0 - a 9 0 7 7 0 1 1 1 rl1 ul0020 relay atq209 1 1 1 ver parts name p a r t s n o . d e s c r i p t i o n cpu macl04gg http://www..net/ datasheet pdf - http://www..net/
3 3 ref. qty no. d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 sw1 u u 0 0 1 5 z s w i t c h e v q p p x a 2 5 1 1 1 sw2 u u 0 0 1 5 z s w i t c h e v q p p x a 2 5 1 1 1 sw3 u u 0 0 1 5 z s w i t c h e v q p p x a 2 5 1 1 1 sw4 u u 0 0 1 5 z s w i t c h e v q p p x a 2 5 1 1 1 sw5 u u 0 0 1 5 z s w i t c h e v q p p x a 2 5 1 1 1 sw6 u u 0 0 1 5 z s w i t c h e v q p p x a 2 5 1 1 1 sw7 u u 0 0 1 5 z s w i t c h e v q p p x a 2 5 1 1 1 vr1 r v 0 0 3 5 v a r i a b l e e v u f 2 j f k 4 b 1 4 1 1 1 w1 u x 1 2 7 0 w i r e w i r e d r 2 3 5 w 1 1 1 1 x1 x q 0 1 3 1 x t a l c s a 3 1 0 / 3 . 6 8 6 4 m h z 1 1 1 tl0024 diffusion sheet 135 1 1 1 yz0042 cement g17 / 1g 1 1 1 st0068 dial fitting 1 1 1 fg0305 lcd rub.connect. 135 1 1 1 tl0023 reflection dr135 1 1 1 dg0037 lcd light dr135 1 1 1 fm0034 mic gnd plate 1 1 1 f p 0 0 3 4 m i c s p a c e r d r 1 1 0 1 1 1 st0064 lcd holder dr135 1 1 1 ref. qty no. d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c 1 0 1 cu3047 chip c. c1608jb1h103kt-n 1 c 1 0 2 cu3047 chip c. c1608jb1h103kt-n 1 c 1 0 3 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 1 0 4 cu3047 chip c. c1608jb1h103kt-n 1 c 1 0 5 c s 0 3 9 4 c h i p t a n t a l u m t m c m b 0 j 4 7 6 m t r 1 c 1 0 6 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 1 0 7 cu3111 chip c. c1608jb1c104kt-n 1 c 1 0 8 cu3047 chip c. c1608jb1h103kt-n 1 c 1 0 9 c s 0 2 1 6 c h i p t a n t a l u m t m c m b 1 a 1 0 6 m t r 1 c 1 1 0 cu3047 chip c. c1608jb1h103kt-n 1 c 1 1 1 cu3047 chip c. c1608jb1h103kt-n 1 c 1 1 2 cu3047 chip c. c1608jb1h103kt-n 1 c 1 1 3 cu3047 chip c. c1608jb1h103kt-n 1 c 1 1 4 cu3047 chip c. c1608jb1h103kt-n 1 c 1 1 5 cu3047 chip c. c1608jb1h103kt-n 1 c 1 1 6 cu3047 chip c. c1608jb1h103kt-n 1 c 1 1 7 cu3047 chip c. c1608jb1h103kt-n 1 c 1 1 8 c u 3 0 4 9 c h i p c . c 1 6 0 8 j b 1 e 1 5 3 k t - n s 1 c 1 1 9 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 1 2 0 cu3021 chip c. c1608ch1h680jt-as 1 c 1 2 1 cu3005 chip c. c1608ch1h040ct-as 1 c 1 2 2 cu3002 chip c. c1608ch1h010ct-as 1 c 1 2 3 cu3015 chip c. c1608ch1h220jt-as 1 c 1 2 4 cu3040 chip c. c1608jb1h272kt-ns 1 c 1 2 5 cu3044 chip c. c1608jb1h562kt-ns 1 c 1 2 6 c u 3 0 3 8 c h i p c . c 1 6 0 8 j b 1 h 1 8 2 k t - a s 1 c 1 2 7 cu3041 chip c. c1608jb1h332kt-ns 1 c 1 2 9 cu3111 chip c. c1608jb1c104kt-n 1 c 1 3 0 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 1 3 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 3 3 cu3005 chip c. c1608ch1h040ct-as 1 c 1 3 4 cu3042 chip c. c1608jb1h392kt-ns 1 c 1 3 5 cu3044 chip c. c1608jb1h562kt-ns 1 c 1 3 7 cu3017 chip c. c1608ch1h330jt-as 1 c 1 3 8 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 1 3 9 cu3017 chip c. c1608ch1h330jt-as 1 c 1 4 0 cu3017 chip c. c1608ch1h330jt-as 1 c 1 4 1 cu3111 chip c. c1608jb1c104kt-n 1 c 1 4 2 cu3111 chip c. c1608jb1c104kt-n 1 c 1 4 3 cu3111 chip c. c1608jb1c104kt-n 1 c 1 4 4 cu3047 chip c. c1608jb1h103kt-n 1 c 1 4 5 cu3003 chip c. c1608ch1h020ct-as 1 c 1 4 6 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 1 4 8 cu3017 chip c. c1608ch1h330jt-as 1 c 1 4 9 cu3017 chip c. c1608ch1h330jt-as 1 c 1 5 0 cu3005 chip c. c1608ch1h040ct-as 1 c 1 5 1 cu3047 chip c. c1608jb1h103kt-n 1 c 1 5 2 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 1 5 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 5 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 5 5 cu3007 chip c. c1608ch1h060ct-a 1 c 1 5 6 cu3047 chip c. c1608jb1h103kt-n 1 c 1 5 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 5 8 cu3013 chip c. c1608ch1h150jt-as 1 c 1 5 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 6 0 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 1 6 1 cu3111 chip c. c1608jb1c104kt-n 1 c 1 6 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 6 5 cu3111 chip c. c1608jb1c104kt-n 1 c 1 6 7 cu3047 chip c. c1608jb1h103kt-n 1 c 1 6 8 cu3111 chip c. c1608jb1c104kt-n 1 c 1 6 9 cu3027 chip c. c1608ch1h221jt-as 1 c 1 7 1 cu3111 chip c. c1608jb1c104kt-n 1 c 1 7 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r ref. qty no. d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c 1 7 3 c u 3 0 3 7 c h i p c . c 1 6 0 8 j b 1 h 1 5 2 k t - a s 1 c 1 7 4 c u 3 0 2 9 c h i p c . c 1 6 0 8 j b 1 h 3 3 1 k t - a s 1 c 1 7 5 cu3111 chip c. c1608jb1c104kt-n 1 c 1 7 6 cu3018 chip c. c1608ch1h390jt-as 1 c 1 7 7 cu3018 chip c. c1608ch1h390jt-as 1 c 1 7 9 cu3111 chip c. c1608jb1c104kt-n 1 c 1 8 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 2 cu3047 chip c. c1608jb1h103kt-n 1 c 1 8 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 5 c s 0 2 3 2 c h i p t a n t a l u m t m c m a 1 v 4 7 4 m t r 1 c 1 8 6 cu3008 chip c. c1608ch1h070ct-a 1 c 1 8 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 9 cu3011 chip c. c1608ch1h100dt-as 1 c 1 9 0 cu3047 chip c. c1608jb1h103kt-n 1 c 1 9 1 cu3102 chip c. c1608jb1c333kt-ns 1 c 1 9 2 cu3047 chip c. c1608jb1h103kt-n 1 c 1 9 3 c u 4 0 3 3 c h i p c . g r m 4 2 - 6 x 7 r 1 0 2 k 5 0 0 p t 1 c 1 9 4 cu3012 chip c. c1608ch1h120jt-as 1 c 1 9 5 cu3012 chip c. c1608ch1h120jt-as 1 c 1 9 6 cu3023 chip c. c1608ch1h101jt-as 1 c 1 9 7 cu4003 chip c. grm42-6ck020c500pt 1 c 1 9 8 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 1 9 9 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 0 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 0 1 cu4014 chip c. grm42-6ch180j500pt 1 c 2 0 2 cu4016 chip c. grm42-6ch270j500pt 1 c 2 0 3 cu4016 chip c. grm42-6ch270j500pt 1 c 2 0 4 cu4013 chip c. grm42-6ch150j500pt 1 c 2 0 5 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 0 6 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 0 7 cu3002 chip c. c1608ch1h010ct-as 1 c 2 0 8 cu3002 chip c. c1608ch1h010ct-as 1 c 2 0 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 1 0 cu3003 chip c. c1608ch1h020ct-as 1 c 2 1 1 cu3003 chip c. c1608ch1h020ct-as 1 c 2 1 2 c e 0 3 6 4 e l e c t r o l y t i c c . 1 6 m v 4 7 s w b + t s 1 c 2 1 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 1 4 cu3015 chip c. c1608ch1h220jt-as 1 c 2 1 5 cu4016 chip c. grm42-6ch270j500pt 1 c 2 1 6 cu4016 chip c. grm42-6ch270j500pt 1 c 2 1 7 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 2 1 8 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 2 1 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 1 cu3047 chip c. c1608jb1h103kt-n 1 c 2 2 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 3 c e 0 3 6 4 e l e c t r o l y t i c c . 1 6 m v 4 7 s w b + t s 1 c 2 2 4 cu3023 chip c. c1608ch1h101jt-as 1 c 2 2 5 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 6 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 7 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 2 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 9 cu3101 chip c. c1608jb1c473kt-ns 1 c 2 3 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 5 cu3014 chip c. c1608ch1h180jt-as 1 c 2 3 6 cu3014 chip c. c1608ch1h180jt-as 1 c 2 3 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 8 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 3 9 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 4 0 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 4 1 cu3022 chip c. c1608ch1h820jt-as 1 c 2 4 2 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 2 4 3 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 4 4 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 4 5 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 4 6 cu3043 chip c. c1608jb1h472kt-ns 1 c 2 4 7 cu3111 chip c. c1608jb1c104kt-n 1 c 2 4 8 cu3047 chip c. c1608jb1h103kt-n 1 c 2 4 9 c u 3 0 3 8 c h i p c . c 1 6 0 8 j b 1 h 1 8 2 k t - a s 1 c 2 5 0 cu3026 chip c. c1608ch1h181jt-as 1 c 2 5 1 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 5 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 5 3 cu3111 chip c. c1608jb1c104kt-n 1 c 2 5 4 cu3111 chip c. c1608jb1c104kt-n 1 c 2 5 5 c e 0 3 6 4 e l e c t r o l y t i c c . 1 6 m v 4 7 s w b + t s 1 c 2 5 6 cu3111 chip c. c1608jb1c104kt-n 1 c 2 5 7 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 5 8 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 5 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 6 0 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 6 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 6 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r main unit (dr-135) http://www..net/ datasheet pdf - http://www..net/
3 4 ref. qty no. d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c 2 6 3 c e 0 1 0 0 e l e c t r o l y t i c c . 1 6 m v 2 2 u w 1 c 2 6 4 c u 3 0 3 1 c h i p c . c 1 6 0 8 j b 1 h 4 7 1 k t - a s 1 c 2 6 5 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 6 6 cu3064 chip c. c1608ch1h1r5ct-as 1 c 2 6 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 6 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 6 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 7 0 cu3047 chip c. c1608jb1h103kt-n 1 c 2 7 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 7 2 c s 0 2 2 0 c h i p t a n t a l u m t m c m a 1 c 2 2 5 m t r 1 c 2 7 3 c s 0 2 2 0 c h i p t a n t a l u m t m c m a 1 c 2 2 5 m t r 1 c 2 7 4 cu3016 chip c. c1608ch1h270jt-as 1 c 2 7 5 cu3047 chip c. c1608jb1h103kt-n 1 c 2 7 6 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 7 7 c e 0 3 4 3 e l e c t r o l y t i c c . 1 6 m v 1 0 0 0 h c + t 1 c 2 7 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 7 9 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 2 8 0 cu3016 chip c. c1608ch1h270jt-as 1 c 2 8 1 cu3009 chip c. c1608ch1h080ct-a 1 c 2 8 2 cu3064 chip c. c1608ch1h1r5ct-as 1 c 2 8 3 cu3027 chip c. c1608ch1h221jt-as 1 c 2 8 4 cu3002 chip c. c1608ch1h010ct-as 1 c 2 8 5 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 8 6 cu3027 chip c. c1608ch1h221jt-as 1 c 2 8 7 c s 0 0 6 3 c h i p t a n t a l u m t m c s a 1 v 1 0 4 m t r 1 c 2 8 8 cu3011 chip c. c1608ch1h100dt-as 1 c 2 8 9 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 2 9 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 9 1 cu3011 chip c. c1608ch1h100dt-as 1 c 2 9 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 9 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 9 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 9 5 cu3047 chip c. c1608jb1h103kt-n 1 c 2 9 6 cu3011 chip c. c1608ch1h100dt-as 1 c 2 9 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 9 8 cu3009 chip c. c1608ch1h080ct-a 1 c 2 9 9 cu3047 chip c. c1608jb1h103kt-n 1 c 3 0 0 cu3015 chip c. c1608ch1h220jt-as 1 c 3 0 1 cu3023 chip c. c1608ch1h101jt-as 1 c 3 0 2 cu3023 chip c. c1608ch1h101jt-as 1 c 3 0 3 cu3023 chip c. c1608ch1h101jt-as 1 c 3 0 4 cu3047 chip c. c1608jb1h103kt-n 1 c 3 0 5 cu3047 chip c. c1608jb1h103kt-n 1 c 3 0 6 cu3111 chip c. c1608jb1c104kt-n 1 c 3 0 7 cu3047 chip c. c1608jb1h103kt-n 1 c 3 0 8 c e 0 3 4 2 e l e c t r o l y t i c c . 1 6 m v 4 7 0 h c + t s 1 c 3 0 9 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 3 1 0 cu3023 chip c. c1608ch1h101jt-as 1 c 3 1 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 3 1 2 cu3011 chip c. c1608ch1h100dt-as 1 c 3 1 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 3 1 4 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 1 5 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 1 6 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 1 7 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 1 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 3 1 9 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 2 0 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 2 1 c s 0 2 2 0 c h i p t a n t a l u m t m c m a 1 c 2 2 5 m t r 1 c 3 2 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 3 2 3 cu3018 chip c. c1608ch1h390jt-as 1 c 3 2 4 cu3016 chip c. c1608ch1h270jt-as 1 c 3 2 5 cu3018 chip c. c1608ch1h390jt-as 1 c 3 2 6 c u 3 0 2 9 c h i p c . c 1 6 0 8 j b 1 h 3 3 1 k t - a s 1 c 3 2 7 c u 3 0 3 4 c h i p c . c 1 6 0 8 j b 1 h 8 2 1 k t - a s 1 u e 0 3 6 9 c o n n e c t o r a x n 4 9 3 0 1 6 1 6 1 ue0397 connector 10-5082-3110-17-100 1 ue0397 connector 10-5082-3110-17-100 1 u a 0 0 3 7 y w i r e d c c a b l e u a 0 0 3 7 1 u e 0 3 9 4 c o n n e c t o r p i 2 8 a 1 5 m 1 u e 0 0 4 3 c o n n e c t o r p i 2 2 a 0 2 m 1 u e 0 3 9 3 c o n n e c t o r p i 2 8 a 1 1 m 1 u e 0 3 4 1 c o n n e c t o r p i 2 8 a 0 2 m 1 d 1 0 1 x d 0 2 4 6 c h i p d i o d e d a n 2 3 5 u t 1 0 6 1 d 1 0 2 x d 0 2 9 9 c h i p d i o d e m a 3 0 4 - t x 1 d 1 0 3 x d 0 2 9 9 c h i p d i o d e m a 3 0 4 - t x 1 d 1 0 4 x d 0 2 9 9 c h i p d i o d e m a 3 0 4 - t x 1 d 1 0 5 x d 0 2 9 9 c h i p d i o d e m a 3 0 4 - t x 1 d 1 0 6 x d 0 2 5 0 c h i p d i o d e m a 7 4 2 t x 1 d 1 0 7 x d 0 2 4 6 c h i p d i o d e d a n 2 3 5 u t 1 0 6 1 d 1 0 8 x d 0 1 3 0 c h i p d i o d e d a 2 0 4 u t 1 0 6 1 d 1 0 9 x d 0 3 0 1 c h i p d i o d e 1 s v 2 6 8 - t d 1 d 1 1 0 xd0013 diode mi407 1 d 1 1 1 x d 0 2 5 0 c h i p d i o d e m a 7 4 2 t x 1 d 1 1 2 x d 0 2 5 0 c h i p d i o d e m a 7 4 2 t x 1 d 1 1 3 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 1 4 x d 0 2 4 6 c h i p d i o d e d a n 2 3 5 u t 1 0 6 1 d 1 1 5 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 1 6 x d 0 1 6 5 c h i p d i o d e u d z s t e - 1 7 5 . 1 b 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r ref. qty no. d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 d 1 1 7 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 1 8 x d 0 1 3 0 c h i p d i o d e d a 2 0 4 u t 1 0 6 1 d 1 1 9 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 2 0 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 d 1 2 1 x d 0 2 7 4 d i o d e d s a 3 a 1 1 d 1 2 2 x d 0 3 0 0 c h i p d i o d e 1 s v 2 6 2 t p h 2 1 d 1 2 3 x d 0 3 0 0 c h i p d i o d e 1 s v 2 6 2 t p h 2 1 d 1 2 4 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 d 1 2 5 x d 0 2 7 2 c h i p d i o d e 1 s s 3 5 6 t w 1 1 1 d 1 2 6 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 2 7 x d 0 1 6 5 c h i p d i o d e u d z s t e - 1 7 5 . 1 b 1 d 1 2 8 x d 0 2 9 1 c h i p d i o d e m a 7 2 9 - t x 1 d 1 2 9 x d 0 2 9 1 c h i p d i o d e m a 7 2 9 - t x 1 d 1 3 0 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 f l 1 0 1 x c 0 0 7 0 c e r a m i c f i l t e r a l f y m 4 5 0 e = k 1 f l 1 0 2 x c 0 0 5 2 c e r a m i c f i l t e r a l f y m 4 5 0 g = k 1 i c 1 0 1 x a 0 6 7 5 i c l 8 8 m s 0 5 t l l - t l 1 i c 1 0 2 x a 0 3 4 8 i c t c 4 w 5 3 f u ( t e 1 2 ) 1 i c 1 0 3 x a 0 3 4 8 i c t c 4 w 5 3 f u ( t e 1 2 ) 1 i c 1 0 4 x a 0 5 9 6 i c n j m 2 9 0 2 v - t e 1 1 i c 1 0 8 x a 0 2 2 3 i c t k 1 0 9 3 0 v t l 1 i c 1 0 9 x a 0 1 1 5 i c t c 4 s 6 6 f t e 8 5 r 1 i c 1 1 0 x a 0 4 1 2 i c m 6 7 7 4 6 1 i c 1 1 1 x a 0 2 3 6 i c b u 4 0 5 2 b c f - e 2 1 i c 1 1 2 x a 0 4 4 9 i c u p c 2 7 1 0 t - e 3 1 i c 1 1 3 x a 0 3 4 8 i c t c 4 w 5 3 f u ( t e 1 2 ) 1 i c 1 1 4 x a 0 0 6 8 i c m 5 2 1 8 a f p / 6 0 0 e 1 i c 1 1 5 x a 0 1 0 2 i c n j m 7 8 0 8 f a 1 i c 1 1 6 x a 0 3 5 2 i c m 6 4 0 7 6 g p 1 i c 1 1 7 x a 0 4 1 0 i c l a 4 4 2 5 a 1 j k 1 0 1 u j 0 0 4 6 j a c k m j 8 2 - 1 1 j k 1 0 2 u j 0 0 2 4 z j a c k l g y 6 5 0 1 - 0 6 0 0 1 l 1 0 1 qc0043 chip inductor nl322522t-2r2j-3 1 l 1 0 2 qa0084 coil helical filter 1 l 1 0 3 qa0084 coil helical filter 1 l 1 0 4 qa0084 coil helical filter 1 l 1 0 5 qa0084 coil helical filter 1 l 1 0 6 qc0067 chip inductor nl322522t-r10ja 1 l 1 0 7 qc0065 chip inductor nl322522t-068ja 1 l 1 0 8 qc0065 chip inductor nl322522t-068ja 1 l 1 1 1 qka45e coil mr3.0 4.5t 0.8 1 l 1 1 2 qka35d coil mr3.0 3.5t 0.6 1 l 1 1 3 qka45e coil mr3.0 4.5t 0.8 1 l 1 1 4 qka45e coil mr3.0 4.5t 0.8 1 l 1 1 5 qka45e coil mr3.0 4.5t 0.8 1 l 1 1 6 qka45e coil mr3.0 4.5t 0.8 1 l 1 1 7 qc0065 chip inductor nl322522t-068ja 1 l 1 1 8 qka95d coil mr3.0 9.5t 0.6 1 l 1 1 9 qc0039 chip inductor nl322522t-1r0j-3 1 l 1 2 0 qc0063 chip inductor nl322522t-047ja 1 l 1 2 1 qc0043 chip inductor nl322522t-2r2j-3 1 l 1 2 2 qc0040 chip inductor nl322522t-1r2j-3 1 l 1 2 3 qa0127 coil vco qa0127 5cbm 1 l 1 2 4 qc0442 chip inductor mlf1608a1r0k-t 1 l 1 2 5 qc0430 chip inductor mlf1608dr10k-t 1 l 1 2 6 qc0040 chip inductor nl322522t-1r2j-3 1 l 1 2 7 qc0126 chip inductor nl322522t-r22j-3 1 l 1 2 8 qc0125 chip inductor nl322522t-r18j-3 1 q 1 0 1 xu0131 chip transistor dtc114eua t106 1 q 1 0 2 xu0131 chip transistor dtc114eua t106 1 q 1 0 3 xu0047 chip transistor umc3ntr 1 q 1 0 4 xu0131 chip transistor dtc114eua t106 1 q 1 0 5 x t 0 0 9 6 c h i p t r a n s i s t o r 2 s c 4 0 9 9 t 1 0 6 n 1 q 1 0 6 x e 0 0 2 8 f e t 3 s k 1 3 1 v 1 2 - t 1 1 q 1 0 7 x e 0 0 2 8 f e t 3 s k 1 3 1 v 1 2 - t 1 1 q 1 0 8 xu0131 chip transistor dtc114eua t106 1 q 1 1 0 xu0131 chip transistor dtc114eua t106 1 q 1 1 1 x e 0 0 2 1 f e t 2 s k 8 8 0 g r t e 8 5 l 1 q 1 1 2 x t 0 0 9 6 c h i p t r a n s i s t o r 2 s c 4 0 9 9 t 1 0 6 n 1 q 1 1 3 xu0047 chip transistor umc3ntr 1 q 1 1 4 xu0131 chip transistor dtc114eua t106 1 q 1 1 5 xt0084 chip transistor 2sc2954 t1 1 q 1 1 6 xt0112 transistor 2sb1292f 1 q 1 1 7 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 1 8 x t 0 0 9 4 c h i p t r a n s i s t o r 2 s a 1 5 7 6 a t 1 0 6 r 1 q 1 1 9 xu0148 chip transistor dtc144eua t106 1 q 1 2 0 xu0131 chip transistor dtc114eua t106 1 q 1 2 1 xu0178 chip transistor xp1215-tx 1 q 1 2 2 x t 0 0 9 9 c h i p t r a n s i s t o r 2 s a 1 7 3 6 t e 1 2 r 1 q 1 2 3 x t 0 0 6 1 c h i p t r a n s i s t o r 2 s b 1 1 3 2 t 1 0 0 q 1 q 1 2 4 xu0047 chip transistor umc3ntr 1 q 1 2 5 x e 0 0 2 1 f e t 2 s k 8 8 0 g r t e 8 5 l 1 q 1 2 6 xu0131 chip transistor dtc114eua t106 1 q 1 2 7 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 2 8 xu0131 chip transistor dtc114eua t106 1 q 1 2 9 xu0148 chip transistor dtc144eua t106 1 q 1 3 0 x u 0 1 1 2 c h i p t r a n s i s t o r d t a 1 1 4 y u a t 1 0 6 1 q 1 3 1 x e 0 0 1 0 f e t 2 s k 5 0 8 k 5 2 t 2 b 1 q 1 3 2 xu0131 chip transistor dtc114eua t106 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r cn101 cn102 cn103 cn104 cn105 cn106 cn107 cn110 http://www..net/ datasheet pdf - http://www..net/
3 5 ref. qty no. d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 q 1 3 3 xu0131 chip transistor dtc114eua t106 1 q 1 3 4 xt0124 chip transistor 2sc4215-y(te85l) 1 q 1 3 5 xt0124 chip transistor 2sc4215-y(te85l) 1 q 1 3 6 xu0148 chip transistor dtc144eua t106 1 q 1 3 7 xu0131 chip transistor dtc114eua t106 1 q 1 3 8 xu0131 chip transistor dtc114eua t106 1 q 1 3 9 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 4 0 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 4 1 xu0148 chip transistor dtc144eua t106 1 q 1 4 2 xu0148 chip transistor dtc144eua t106 1 r 1 0 1 rk3050 chip r. mcr03ezhj103 1 r 1 0 2 r k 3 0 9 1 c h i p r . m c r 0 3 e z p f x 3 9 0 2 1 r 1 0 3 r k 3 0 9 1 c h i p r . m c r 0 3 e z p f x 3 9 0 2 1 r 1 0 4 rk3050 chip r. mcr03ezhj103 1 r 1 0 5 rk3028 chip r. mcr03ezhj151 1 r 1 0 6 rk3026 chip r. mcr03ezhj101 1 r 1 0 7 rk3026 chip r. mcr03ezhj101 1 r 1 0 9 rk3026 chip r. mcr03ezhj101 1 r 1 1 0 rk3026 chip r. mcr03ezhj101 1 r 1 1 1 rk3026 chip r. mcr03ezhj101 1 r 1 1 2 rk3026 chip r. mcr03ezhj101 1 r 1 1 3 rk3042 chip r. mcr03ezhj222 1 r 1 1 4 rk3041 chip r. mcr03ezhj182 1 r 1 1 5 rk3043 chip r. mcr03ezhj272 1 r 1 1 6 rk3034 chip r. mcr03ezhj471 1 r 1 1 7 rk3062 chip r. mcr03ezhj104 1 r 1 1 8 rk3026 chip r. mcr03ezhj101 1 r 1 1 9 rk3052 chip r. mcr03ezhj153 1 r 1 2 0 rk3045 chip r. mcr03ezhj392 1 r 1 2 1 rk3063 chip r. mcr03ezhj124 1 r 1 2 2 rk3059 chip r. mcr03ezhj563 1 r 1 2 3 rk3061 chip r. mcr03ezhj823 1 r 1 2 4 rk3057 chip r. mcr03ezhj393 1 r 1 2 5 rk3038 chip r. mcr03ezhj102 1 r 1 2 6 rk3052 chip r. mcr03ezhj153 1 r 1 2 8 rk3058 chip r. mcr03ezhj473 1 r 1 2 9 rk3050 chip r. mcr03ezhj103 1 r 1 3 0 rk3060 chip r. mcr03ezhj683 1 r 1 3 1 rk3061 chip r. mcr03ezhj823 1 r 1 3 2 rk3050 chip r. mcr03ezhj103 1 r 1 3 3 rk3037 chip r. mcr03ezhj821 1 r 1 3 4 rk3055 chip r. mcr03ezhj273 1 r 1 3 5 rk3062 chip r. mcr03ezhj104 1 r 1 3 6 rk3050 chip r. mcr03ezhj103 1 r 1 3 7 rk3067 chip r. mcr03ezhj274 1 r 1 3 8 rk3059 chip r. mcr03ezhj563 1 r 1 3 9 rk3050 chip r. mcr03ezhj103 1 r 1 4 0 rk3072 chip r. mcr03ezhj684 1 r 1 4 1 rk3064 chip r. mcr03ezhj154 1 r 1 4 2 rk3054 chip r. mcr03ezhj223 1 r 1 4 3 rk3043 chip r. mcr03ezhj272 1 r 1 4 4 rk3042 chip r. mcr03ezhj222 1 r 1 4 7 rk3050 chip r. mcr03ezhj103 1 r 1 4 8 rk3062 chip r. mcr03ezhj104 1 r 1 5 0 rk3001 chip r. mcr03ezhj000 1 r 1 5 1 rk3050 chip r. mcr03ezhj103 1 r 1 5 2 rk3001 chip r. mcr03ezhj000 1 r 1 5 3 rk3048 chip r. mcr03ezhj682 1 r 1 5 4 rk3042 chip r. mcr03ezhj222 1 r 1 5 5 rk3052 chip r. mcr03ezhj153 1 r 1 5 6 rk3034 chip r. mcr03ezhj471 1 r 1 5 7 rk3062 chip r. mcr03ezhj104 1 r 1 5 8 rk3030 chip r. mcr03ezhj221 1 r 1 6 0 rk3062 chip r. mcr03ezhj104 1 r 1 6 1 rk3062 chip r. mcr03ezhj104 1 r 1 6 2 rk3021 chip r. mcr03ezhj390 1 r 1 6 3 rk3014 chip r. mcr03ezhj100 1 r 1 6 4 rk3014 chip r. mcr03ezhj100 1 r 1 6 5 rk3074 chip r. mcr03ezhj105 1 r 1 6 6 rk3038 chip r. mcr03ezhj102 1 r 1 6 7 rk3055 chip r. mcr03ezhj273 1 r 1 6 8 rk3054 chip r. mcr03ezhj223 1 r 1 6 9 rk3038 chip r. mcr03ezhj102 1 r 1 7 1 rk3062 chip r. mcr03ezhj104 1 r 1 7 2 rk3062 chip r. mcr03ezhj104 1 r 1 7 3 rk3026 chip r. mcr03ezhj101 1 r 1 7 4 rk3026 chip r. mcr03ezhj101 1 r 1 7 6 rk3050 chip r. mcr03ezhj103 1 r 1 7 7 rk3054 chip r. mcr03ezhj223 1 r 1 7 9 rk3074 chip r. mcr03ezhj105 1 r 1 8 0 rk3034 chip r. mcr03ezhj471 1 r 1 8 1 rk3066 chip r. mcr03ezhj224 1 r 1 8 2 rk3042 chip r. mcr03ezhj222 1 r 1 8 3 rk3074 chip r. mcr03ezhj105 1 r 1 8 4 rk3058 chip r. mcr03ezhj473 1 r 1 8 5 rk3070 chip r. mcr03ezhj474 1 r 1 8 6 rk3026 chip r. mcr03ezhj101 1 r 1 8 7 rk3058 chip r. mcr03ezhj473 1 r 1 8 8 rk3026 chip r. mcr03ezhj101 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r ref. qty no. d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 r 1 8 9 rk3038 chip r. mcr03ezhj102 1 r 1 9 0 rk3038 chip r. mcr03ezhj102 1 r 1 9 1 rk3038 chip r. mcr03ezhj102 1 r 1 9 2 rk3058 chip r. mcr03ezhj473 1 r 1 9 3 rk3043 chip r. mcr03ezhj272 1 r 1 9 5 rk3070 chip r. mcr03ezhj474 1 r 1 9 6 rk3038 chip r. mcr03ezhj102 1 r 1 9 7 rk3050 chip r. mcr03ezhj103 1 r 1 9 8 rk3042 chip r. mcr03ezhj222 1 r 1 9 9 rk3042 chip r. mcr03ezhj222 1 r 2 0 0 rk3070 chip r. mcr03ezhj474 1 r 2 0 1 rk3042 chip r. mcr03ezhj222 1 r 2 0 2 rk0028 chip r. erj6geyj471v 1 r 2 0 3 rk3056 chip r. mcr03ezhj333 1 r 2 0 4 rk3062 chip r. mcr03ezhj104 1 r 2 0 5 rk0069 chip r. erj6geyj104v 1 r 2 0 6 rk0001 chip r. erj6geyj100v 1 r 2 0 7 rk3052 chip r. mcr03ezhj153 1 r 2 0 8 rk3034 chip r. mcr03ezhj471 1 r 2 0 9 rk3061 chip r. mcr03ezhj823 1 r 2 1 0 rk3038 chip r. mcr03ezhj102 1 r 2 1 1 rk4018 chip r. erj12yj220u 1 r 2 1 2 rk4026 chip r. erj12yj101u 1 r 2 1 3 rk3049 chip r. mcr03ezhj822 1 r 2 1 4 rk3050 chip r. mcr03ezhj103 1 r 2 1 5 rk3043 chip r. mcr03ezhj272 1 r 2 1 6 rk3042 chip r. mcr03ezhj222 1 r 2 1 7 rk3042 chip r. mcr03ezhj222 1 r 2 1 8 rk3058 chip r. mcr03ezhj473 1 r 2 1 9 rk3042 chip r. mcr03ezhj222 1 r 2 2 0 rk4034 chip r. erj12yj471u 1 r 2 2 1 rk3052 chip r. mcr03ezhj153 1 r 2 2 2 rk3050 chip r. mcr03ezhj103 1 r 2 2 3 rk3026 chip r. mcr03ezhj101 1 r 2 2 4 rk4024 chip r. erj12yj680u 1 r 2 2 5 rk3017 chip r. mcr03ezhj180 1 r 2 2 6 rk3015 chip r. mcr03ezhj120 1 r 2 2 7 rk3030 chip r. mcr03ezhj221 1 r 2 2 8 rk3062 chip r. mcr03ezhj104 1 r 2 2 9 rk3045 chip r. mcr03ezhj392 1 r 2 3 0 rk3033 chip r. mcr03ezhj391 1 r 2 3 1 rk3033 chip r. mcr03ezhj391 1 r 2 3 2 rk3031 chip r. mcr03ezhj271 1 r 2 3 3 rk3031 chip r. mcr03ezhj271 1 r 2 3 4 rk3054 chip r. mcr03ezhj223 1 r 2 3 5 rk3053 chip r. mcr03ezhj183 1 r 2 3 6 rk3050 chip r. mcr03ezhj103 1 r 2 3 7 rk3026 chip r. mcr03ezhj101 1 r 2 3 8 rk3062 chip r. mcr03ezhj104 1 r 2 3 9 rk3050 chip r. mcr03ezhj103 1 r 2 4 0 rk3038 chip r. mcr03ezhj102 1 r 2 4 1 rk3051 chip r. mcr03ezhj123 1 r 2 4 2 rk3044 chip r. mcr03ezhj332 1 r 2 4 3 rk3054 chip r. mcr03ezhj223 1 r 2 4 4 rk3068 chip r. mcr03ezhj334 1 r 2 4 5 rk3038 chip r. mcr03ezhj102 1 r 2 4 6 rk3046 chip r. mcr03ezhj472 1 r 2 4 7 rk3050 chip r. mcr03ezhj103 1 r 2 4 8 rk3070 chip r. mcr03ezhj474 1 r 2 4 9 rk3042 chip r. mcr03ezhj222 1 r 2 5 0 rk3070 chip r. mcr03ezhj474 1 r 2 5 1 rk3050 chip r. mcr03ezhj103 1 r 2 5 2 rk3070 chip r. mcr03ezhj474 1 r 2 5 3 rk3057 chip r. mcr03ezhj393 1 r 2 5 4 rk3057 chip r. mcr03ezhj393 1 r 2 5 5 rk3046 chip r. mcr03ezhj472 1 r 2 5 6 rk3026 chip r. mcr03ezhj101 1 r 2 5 7 rk3046 chip r. mcr03ezhj472 1 r 2 5 8 rk3057 chip r. mcr03ezhj393 1 r 2 5 9 rk3050 chip r. mcr03ezhj103 1 r 2 6 0 rk3054 chip r. mcr03ezhj223 1 r 2 6 1 rk3054 chip r. mcr03ezhj223 1 r 2 6 2 rk3067 chip r. mcr03ezhj274 1 r 2 6 3 rk3052 chip r. mcr03ezhj153 1 r 2 6 4 rk3038 chip r. mcr03ezhj102 1 r 2 6 5 rk3047 chip r. mcr03ezhj562 1 r 2 6 6 rk3050 chip r. mcr03ezhj103 1 r 2 6 7 rk3022 chip r. mcr03ezhj470 1 r 2 6 8 rk3050 chip r. mcr03ezhj103 1 r 2 6 9 rk3062 chip r. mcr03ezhj104 1 r 2 7 0 rk3060 chip r. mcr03ezhj683 1 r 2 7 1 rk4034 chip r. erj12yj471u 1 r 2 7 2 rk3050 chip r. mcr03ezhj103 1 r 2 7 3 rk3062 chip r. mcr03ezhj104 1 r 2 7 4 rk3050 chip r. mcr03ezhj103 1 r 2 7 5 rk3050 chip r. mcr03ezhj103 1 r 2 7 6 rk3034 chip r. mcr03ezhj471 1 r 2 7 7 rk3042 chip r. mcr03ezhj222 1 r 2 7 8 rk3026 chip r. mcr03ezhj101 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r http://www..net/ datasheet pdf - http://www..net/
3 6 ref. qty no. d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 r 2 7 9 rk3046 chip r. mcr03ezhj472 1 r 2 8 0 rk3058 chip r. mcr03ezhj473 1 r 2 8 1 rk3041 chip r. mcr03ezhj182 1 r 2 8 2 rk3050 chip r. mcr03ezhj103 1 r 2 8 3 rk3038 chip r. mcr03ezhj102 1 r 2 8 4 rk3026 chip r. mcr03ezhj101 1 r 2 8 5 rk3054 chip r. mcr03ezhj223 1 r 2 8 6 rk3034 chip r. mcr03ezhj471 1 r 2 8 7 rk3046 chip r. mcr03ezhj472 1 r 2 8 8 rk3046 chip r. mcr03ezhj472 1 r 2 8 9 rk3046 chip r. mcr03ezhj472 1 r 2 9 0 rk3054 chip r. mcr03ezhj223 1 r 2 9 1 rk3022 chip r. mcr03ezhj470 1 r 2 9 2 rk3018 chip r. mcr03ezhj220 1 r 2 9 3 rk3042 chip r. mcr03ezhj222 1 r 2 9 4 rk3052 chip r. mcr03ezhj153 1 r 2 9 5 rk3038 chip r. mcr03ezhj102 1 r 2 9 7 rk3050 chip r. mcr03ezhj103 1 r 2 9 8 rk3042 chip r. mcr03ezhj222 1 r 2 9 9 rk3042 chip r. mcr03ezhj222 1 r 3 0 0 rk3026 chip r. mcr03ezhj101 1 r 3 0 1 rk3038 chip r. mcr03ezhj102 1 r 3 0 2 rk3024 chip r. mcr03ezhj680 1 r 3 0 3 rk3056 chip r. mcr03ezhj333 1 r 3 0 4 rk3059 chip r. mcr03ezhj563 1 r 3 0 5 rk3058 chip r. mcr03ezhj473 1 r 3 0 6 rk3076 chip r. mcr03ezhj155 1 r 3 0 7 rk3001 chip r. mcr03ezhj000 1 r 3 0 8 rk3076 chip r. mcr03ezhj155 1 r 3 0 9 rk3050 chip r. mcr03ezhj103 1 r 3 1 0 rk3026 chip r. mcr03ezhj101 1 r 3 1 1 rk3038 chip r. mcr03ezhj102 1 r 3 1 2 rk3038 chip r. mcr03ezhj102 1 r 3 1 3 rk3038 chip r. mcr03ezhj102 1 r 3 1 4 rk3022 chip r. mcr03ezhj470 1 r 3 1 5 rk3042 chip r. mcr03ezhj222 1 r 3 1 6 rk3051 chip r. mcr03ezhj123 1 r 3 1 8 rk3050 chip r. mcr03ezhj103 1 r 3 1 9 rk3062 chip r. mcr03ezhj104 1 r 3 2 0 rk3062 chip r. mcr03ezhj104 1 r 3 2 1 rk3043 chip r. mcr03ezhj272 1 r 3 2 2 rd0108 jumper j1/6z 1 r 3 2 3 rk3001 chip r. mcr03ezhj000 1 r 3 2 4 rk3014 chip r. mcr03ezhj100 1 r 3 2 5 rk3066 chip r. mcr03ezhj224 1 r 3 2 6 rk3038 chip r. mcr03ezhj102 1 r 3 2 7 r k 3 0 9 2 c h i p r . m c r 0 3 e z p f x 7 5 0 2 1 r 3 2 8 r d 3 0 1 3 r e s i s t o r e r x 1 s j 1 0 0 1 r 3 2 9 rk3062 chip r. mcr03ezhj104 1 r 3 3 0 rk3062 chip r. mcr03ezhj104 1 r 3 3 1 rk3038 chip r. mcr03ezhj102 1 r 3 3 2 rk3054 chip r. mcr03ezhj223 1 r 3 3 3 rk3062 chip r. mcr03ezhj104 1 r 3 3 4 rk3042 chip r. mcr03ezhj222 1 r 3 3 5 rk3050 chip r. mcr03ezhj103 1 s h 1 0 1 ts0032b case vco case 1 t c 1 0 1 ct0012 trimmer c. ctz3s-10a-w1-p 1 t h 1 0 2 x s 0 0 3 1 t h e r m i s t o r n t c c m 1 6 0 8 4 b h 6 8 2 k c t 1 v r 1 0 1 r h 0 1 4 6 t r i m m e r r . m v r 2 2 h x b r n 4 7 3 1 r h 0 1 4 8 t r i m m e r r . m v r 2 2 h x b r n 1 0 4 1 r h 0 1 4 2 t r i m m e r r . m v r 2 2 h x b r n 1 0 3 1 r h 0 1 4 2 t r i m m e r r . m v r 2 2 h x b r n 1 0 3 1 r h 0 1 4 2 t r i m m e r r . m v r 2 2 h x b r n 1 0 3 1 r h 0 1 4 6 t r i m m e r r . m v r 2 2 h x b r n 4 7 3 1 r h 0 1 4 0 t r i m m e r r . m v r 2 2 h x b r n 4 7 2 1 r h 0 1 4 8 t r i m m e r r . m v r 2 2 h x b r n 1 0 4 1 x 1 0 1 x k 0 0 0 3 d i s c r i m i n a t o r c d b m 4 5 0 c 7 1 x 1 0 3 xq0112 xtal um-5 21.250mhz 1 x f 1 0 1 x f 0 0 4 1 x t a l f i l t e r u m 5 2 1 . 7 m 2 1 r 1 5 a 5 1 x f 1 0 2 x f 0 0 4 1 x t a l f i l t e r u m 5 2 1 . 7 m 2 1 r 1 5 a 5 1 u p 0 4 0 0 b p . c . b d r 1 3 5 i n t e g r a t e d 1 sd0034 spring gnd spring dr130 3 tz0072 sheet 1 tz0049 silicon dumper 3 fg0327 cushion dr135 1 ref. qty no. d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c 1 0 1 cu3047 chip c. c1608jb1h103kt-n 1 c 1 0 2 cu3047 chip c. c1608jb1h103kt-n 1 c 1 0 3 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 1 0 4 cu3047 chip c. c1608jb1h103kt-n 1 c 1 0 5 c s 0 3 9 4 c h i p t a n t a l u m t m c m b 0 j 4 7 6 m t r 1 c 1 0 6 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 1 0 7 cu3111 chip c. c1608jb1c104kt-n 1 c 1 0 8 cu3047 chip c. c1608jb1h103kt-n 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r main unit (DR-235) v r 1 0 2 v r 1 0 3 v r 1 0 4 v r 1 0 5 v r 1 0 6 v r 1 0 7 v r 1 0 8 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c 1 0 9 c s 0 2 1 6 c h i p t a n t a l u m t m c m b 1 a 1 0 6 m t r 1 c 1 1 0 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 1 1 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 1 2 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 1 3 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 1 4 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 1 5 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 1 7 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 1 8 c u 3 0 4 9 c h i p c . c 1 6 0 8 j b 1 e 1 5 3 k t - n s 1 c 1 1 9 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 1 2 0 c u 3 0 2 1 c h i p c . c 1 6 0 8 c h 1 h 6 8 0 j t - a s 1 c 1 2 1 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 1 2 2 c u 3 0 0 2 c h i p c . c 1 6 0 8 c h 1 h 0 1 0 c t - a s 1 c 1 2 3 c u 3 0 1 3 c h i p c . c 1 6 0 8 c h 1 h 1 5 0 j t - a s 1 c 1 2 4 c u 3 0 4 0 c h i p c . c 1 6 0 8 j b 1 h 2 7 2 k t - n s 1 c 1 2 5 c u 3 0 4 4 c h i p c . c 1 6 0 8 j b 1 h 5 6 2 k t - n s 1 c 1 2 6 c u 3 0 3 8 c h i p c . c 1 6 0 8 j b 1 h 1 8 2 k t - a s 1 c 1 2 7 c u 3 0 4 1 c h i p c . c 1 6 0 8 j b 1 h 3 3 2 k t - n s 1 c 1 2 9 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 3 0 c s 0 2 2 0 c h i p t a n t a l u m t m c m a 1 c 2 2 5 m t r 1 c 1 3 2 c u 3 0 2 7 c h i p c . c 1 6 0 8 c h 1 h 2 2 1 j t - a s 1 c 1 3 3 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 1 3 4 c u 3 0 4 2 c h i p c . c 1 6 0 8 j b 1 h 3 9 2 k t - n s 1 c 1 3 5 c u 3 0 4 4 c h i p c . c 1 6 0 8 j b 1 h 5 6 2 k t - n s 1 c 1 3 7 c u 3 0 1 5 c h i p c . c 1 6 0 8 c h 1 h 2 2 0 j t - a s 1 c 1 3 8 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 1 3 9 c u 3 0 1 5 c h i p c . c 1 6 0 8 c h 1 h 2 2 0 j t - a s 1 c 1 4 0 c u 3 0 1 5 c h i p c . c 1 6 0 8 c h 1 h 2 2 0 j t - a s 1 c 1 4 1 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 4 2 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 4 3 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 4 4 c u 3 0 0 9 c h i p c . c 1 6 0 8 c h 1 h 0 8 0 c t - a 1 c 1 4 5 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 1 4 6 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 1 4 8 c u 3 0 1 9 c h i p c . c 1 6 0 8 c h 1 h 4 7 0 j t - a s 1 c 1 4 9 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 1 5 0 c u 3 0 0 2 c h i p c . c 1 6 0 8 c h 1 h 0 1 0 c t - a s 1 c 1 5 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 5 2 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 1 5 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 5 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 5 5 c u 3 0 1 2 c h i p c . c 1 6 0 8 c h 1 h 1 2 0 j t - a s 1 c 1 5 6 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 5 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 5 8 c u 3 0 1 3 c h i p c . c 1 6 0 8 c h 1 h 1 5 0 j t - a s 1 c 1 5 9 c u 3 0 1 8 c h i p c . c 1 6 0 8 c h 1 h 3 9 0 j t - a s 1 c 1 6 0 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 1 6 1 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 6 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 6 5 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 6 8 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 6 9 c u 3 0 2 7 c h i p c . c 1 6 0 8 c h 1 h 2 2 1 j t - a s 1 c 1 7 0 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 1 7 1 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 7 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 7 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 7 4 c u 3 0 2 9 c h i p c . c 1 6 0 8 j b 1 h 3 3 1 k t - a s 1 c 1 7 5 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 7 6 c u 3 0 0 2 c h i p c . c 1 6 0 8 c h 1 h 0 1 0 c t - a s 1 c 1 7 7 c u 3 0 1 5 c h i p c . c 1 6 0 8 c h 1 h 2 2 0 j t - a s 1 c 1 7 9 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 8 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 2 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 8 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 5 c s 0 0 6 1 c h i p t a n t a l u m t m c s a 1 v 2 2 4 m t r 1 c 1 8 6 c u 3 0 1 3 c h i p c . c 1 6 0 8 c h 1 h 1 5 0 j t - a s 1 c 1 8 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 9 c u 3 0 1 1 c h i p c . c 1 6 0 8 c h 1 h 1 0 0 d t - a s 1 c 1 9 0 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 9 1 c u 3 1 0 2 c h i p c . c 1 6 0 8 j b 1 c 3 3 3 k t - n s 1 c 1 9 3 c u 4 0 3 3 c h i p c . g r m 4 2 - 6 x 7 r 1 0 2 k 5 0 0 p t 1 c 1 9 4 c u 3 0 0 8 c h i p c . c 1 6 0 8 c h 1 h 0 7 0 c t - a 1 c 1 9 5 c u 3 0 1 0 c h i p c . c 1 6 0 8 c h 1 h 0 9 0 c t - a 1 c 1 9 6 c u 3 0 1 3 c h i p c . c 1 6 0 8 c h 1 h 1 5 0 j t - a s 1 c 1 9 8 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 1 9 9 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 0 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 0 1 c u 4 0 1 1 c h i p c . g r m 4 2 - 6 c h 1 0 0 d 5 0 0 p t 1 c 2 0 2 c u 4 0 1 3 c h i p c . g r m 4 2 - 6 c h 1 5 0 j 5 0 0 p t 1 c 2 0 3 c u 4 0 1 3 c h i p c . g r m 4 2 - 6 c h 1 5 0 j 5 0 0 p t 1 c 2 0 4 c u 4 0 0 8 c h i p c . g r m 4 2 - 6 c h 0 7 0 d 5 0 0 p t 1 c 2 0 5 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 0 6 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 0 7 c u 3 0 0 2 c h i p c . c 1 6 0 8 c h 1 h 0 1 0 c t - a s 1 c 2 0 8 c u 3 0 0 2 c h i p c . c 1 6 0 8 c h 1 h 0 1 0 c t - a s 1 c 2 0 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 0 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r http://www..net/ datasheet pdf - http://www..net/
3 7 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c 2 1 1 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 2 1 2 c e 0 3 6 4 e l e c t r o l y t i c c . 1 6 m v 4 7 s w b + t s 1 c 2 1 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 1 5 c u 4 0 1 3 c h i p c . g r m 4 2 - 6 c h 1 5 0 j 5 0 0 p t 1 c 2 1 6 c u 4 0 1 3 c h i p c . g r m 4 2 - 6 c h 1 5 0 j 5 0 0 p t 1 c 2 1 7 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 2 1 8 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 2 1 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 1 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 2 2 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 3 c e 0 1 0 0 e l e c t r o l y t i c c . 1 6 m v 2 2 u w 1 c 2 2 4 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 2 2 5 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 6 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 7 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 2 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 9 c u 3 1 0 1 c h i p c . c 1 6 0 8 j b 1 c 4 7 3 k t - n s 1 c 2 3 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 3 c u 3 0 1 1 c h i p c . c 1 6 0 8 c h 1 h 1 0 0 d t - a s 1 c 2 3 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 5 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 2 3 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 8 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 3 9 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 4 0 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 4 1 c u 3 0 2 2 c h i p c . c 1 6 0 8 c h 1 h 8 2 0 j t - a s 1 c 2 4 2 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 2 4 3 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 4 4 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 4 5 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 4 6 c u 3 0 4 3 c h i p c . c 1 6 0 8 j b 1 h 4 7 2 k t - n s 1 c 2 4 7 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 2 4 8 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 2 4 9 c u 3 0 3 8 c h i p c . c 1 6 0 8 j b 1 h 1 8 2 k t - a s 1 c 2 5 0 c u 3 0 2 6 c h i p c . c 1 6 0 8 c h 1 h 1 8 1 j t - a s 1 c 2 5 1 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 5 2 c u 3 0 0 8 c h i p c . c 1 6 0 8 c h 1 h 0 7 0 c t - a 1 c 2 5 3 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 2 5 4 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 2 5 5 c e 0 3 6 4 e l e c t r o l y t i c c . 1 6 m v 4 7 s w b + t s 1 c 2 5 6 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 2 5 7 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 5 8 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 5 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 6 0 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 6 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 6 2 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 2 6 3 c s 0 2 2 0 c h i p t a n t a l u m t m c m a 1 c 2 2 5 m t r 1 c 2 6 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 6 5 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 6 6 c u 3 0 0 7 c h i p c . c 1 6 0 8 c h 1 h 0 6 0 c t - a 1 c 2 6 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 6 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 7 0 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 2 7 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 7 2 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 7 4 c u 3 0 1 8 c h i p c . c 1 6 0 8 c h 1 h 3 9 0 j t - a s 1 c 2 7 6 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 2 7 7 c e 0 3 4 3 e l e c t r o l y t i c c . 1 6 m v 1 0 0 0 h c + t 1 c 2 7 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 8 0 c u 3 0 1 9 c h i p c . c 1 6 0 8 c h 1 h 4 7 0 j t - a s 1 c 2 8 6 c u 3 0 2 7 c h i p c . c 1 6 0 8 c h 1 h 2 2 1 j t - a s 1 c 2 9 1 c u 3 0 1 1 c h i p c . c 1 6 0 8 c h 1 h 1 0 0 d t - a s 1 c 2 9 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 9 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 9 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 9 8 c u 3 0 1 5 c h i p c . c 1 6 0 8 c h 1 h 2 2 0 j t - a s 1 c 3 0 0 c u 4 0 1 1 c h i p c . g r m 4 2 - 6 c h 1 0 0 d 5 0 0 p t 1 c 3 0 1 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 3 0 2 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 3 0 3 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 3 0 5 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 3 0 6 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 3 0 7 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 3 0 8 c e 0 3 4 2 e l e c t r o l y t i c c . 1 6 m v 4 7 0 h c + t s 1 c 3 0 9 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 3 1 0 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 3 1 4 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 1 5 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 1 6 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 1 7 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 1 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 3 1 9 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 2 0 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 2 1 c s 0 2 2 0 c h i p t a n t a l u m t m c m a 1 c 2 2 5 m t r 1 c 3 2 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 u e 0 3 9 7 c o n n e c t o r 1 0 - 5 0 8 2 - 3 1 1 0 - 1 7 - 1 0 0 1 u e 0 3 9 7 c o n n e c t o r 1 0 - 5 0 8 2 - 3 1 1 0 - 1 7 - 1 0 0 1 u a 0 0 3 7 y c o n n e c t o r d c c a b l e u a 0 0 3 7 1 u e 0 3 9 4 c o n n e c t o r p i 2 8 a 1 5 m 1 u e 0 0 4 3 c o n n e c t o r p i 2 2 a 0 2 m 1 u e 0 3 9 3 c o n n e c t o r p i 2 8 a 1 1 m 1 u e 0 3 4 1 c o n n e c t o r p i 2 8 a 0 2 m 1 d 1 0 1 x d 0 1 1 2 c h i p d i o d e 1 s v 1 2 8 t e 8 5 l 1 d 1 0 2 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 d 1 0 3 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 d 1 0 4 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 d 1 0 5 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 d 1 0 6 x d 0 2 5 0 c h i p d i o d e m a 7 4 2 t x 1 d 1 0 7 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 d 1 0 8 x d 0 1 3 0 c h i p d i o d e d a 2 0 4 u t 1 0 6 1 d 1 0 9 x d 0 3 0 1 c h i p d i o d e 1 s v 2 6 8 - t d 1 d 1 1 0 x d 0 0 1 3 d i o d e m i 4 0 7 1 d 1 1 1 x d 0 2 5 0 c h i p d i o d e m a 7 4 2 t x 1 d 1 1 2 x d 0 2 5 0 c h i p d i o d e m a 7 4 2 t x 1 d 1 1 3 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 1 4 x d 0 2 4 6 c h i p d i o d e d a n 2 3 5 u t 1 0 6 1 d 1 1 5 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 1 7 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 1 8 x d 0 1 3 0 c h i p d i o d e d a 2 0 4 u t 1 0 6 1 d 1 1 9 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 2 0 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 2 1 x d 0 2 7 4 c h i p d i o d e d s a 3 a 1 1 d 1 2 4 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 d 1 2 7 x d 0 1 6 5 c h i p d i o d e u d z s t e - 1 7 5 . 1 b 1 d 1 2 8 x d 0 2 9 1 c h i p d i o d e m a 7 2 9 - t x 1 d 1 2 9 x d 0 2 9 1 c h i p d i o d e m a 7 2 9 - t x 1 f l 1 0 1 x c 0 0 4 7 c e r a m i c f i l t e r a l f y m 4 5 5 e = k 1 f l 1 0 2 x c 0 0 3 6 c e r a m i c f i l t e r a l f y m 4 5 5 g 1 i c 1 0 1 x a 0 6 7 5 i c l 8 8 m s 0 5 t l l - t l 1 i c 1 0 2 x a 0 3 4 8 i c t c 4 w 5 3 f u ( t e 1 2 ) 1 i c 1 0 3 x a 0 3 4 8 i c t c 4 w 5 3 f u ( t e 1 2 ) 1 i c 1 0 4 x a 0 5 9 6 i c n j m 2 9 0 2 v - t e 1 1 i c 1 0 8 x a 0 2 2 3 i c t k 1 0 9 3 0 v t l 1 i c 1 0 9 x a 0 1 1 5 i c t c 4 s 6 6 f t e 8 5 r 1 i c 1 1 0 x a 0 5 9 1 i c m 6 8 7 2 9 1 i c 1 1 1 x a 0 2 3 6 i c b u 4 0 5 2 b c f - e 2 1 i c 1 1 2 x a 0 1 1 9 i c a n 8 0 1 0 m e 1 1 i c 1 1 3 x a 0 3 4 8 i c t c 4 w 5 3 f u ( t e 1 2 ) 1 i c 1 1 4 x a 0 0 6 8 i c m 5 2 1 8 a f p / 6 0 0 e 1 i c 1 1 5 x a 0 1 0 2 i c n j m 7 8 0 8 f a 1 i c 1 1 7 x a 0 4 1 0 i c l a 4 4 2 5 a 1 j k 1 0 1 u j 0 0 4 6 j a c k m j 8 2 - 1 1 j k 1 0 2 u j 0 0 2 4 z j a c k l g y 6 5 0 1 - 0 6 0 0 1 j p 1 0 5 rd0108 jumper j1/6z 1 l 1 0 1 qc0043 chip inductor nl322522t-2r2j-3 1 l 1 0 2 q a 0 1 5 5 c o i l e 5 4 4 e n a s - 1 1 0 2 5 1 1 l 1 0 3 q a 0 1 5 5 c o i l e 5 4 4 e n a s - 1 1 0 2 5 1 1 l 1 0 4 q a 0 1 5 5 c o i l e 5 4 4 e n a s - 1 1 0 2 5 1 1 l 1 0 5 q a 0 1 5 5 c o i l e 5 4 4 e n a s - 1 1 0 2 5 1 1 l 1 0 6 q c 0 0 6 1 c h i p i n d u c t o r n l 3 2 2 5 2 2 t - 0 3 3 j a 1 l 1 0 7 q a 0 1 5 5 c o i l e 5 4 4 e n a s - 1 1 0 2 5 1 1 l 1 1 1 q k a 3 5 e c o i l m r 3 . 0 3 . 5 t 0 . 8 1 l 1 1 2 q k a 2 5 d c o i l m r 3 . 0 2 . 5 t 0 . 6 1 l 1 1 3 q k a 3 5 e c o i l m r 3 . 0 3 . 5 t 0 . 8 1 l 1 1 4 q k a 3 5 e c o i l m r 3 . 0 3 . 5 t 0 . 8 1 l 1 1 5 q k a 3 5 e c o i l m r 3 . 0 3 . 5 t 0 . 8 1 l 1 1 6 q k a 3 5 e c o i l m r 3 . 0 3 . 5 t 0 . 8 1 l 1 1 7 q c 0 0 6 1 c h i p i n d u c t o r n l 3 2 2 5 2 2 t - 0 3 3 j a 1 l 1 1 8 q k a 9 5 d c o i l m r 3 . 0 9 . 5 t 0 . 6 1 l 1 1 9 q c 0 0 6 1 c h i p i n d u c t o r n l 3 2 2 5 2 2 t - 0 3 3 j a 1 q 1 0 1 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 0 2 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 0 4 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 0 5 x t 0 0 9 6 c h i p t r a n s i s t o r 2 s c 4 0 9 9 t 1 0 6 n 1 q 1 0 6 x e 0 0 1 3 f e t 3 s k 1 8 4 t x s 1 q 1 0 7 x e 0 0 1 3 f e t 3 s k 1 8 4 t x s 1 q 1 0 8 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 1 0 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 1 1 x e 0 0 2 1 f e t 2 s k 8 8 0 g r t e 8 5 l 1 q 1 1 2 x t 0 1 2 5 c h i p t r a n s i s t o r 2 s c 4 2 4 5 - y ( t e 8 5 l ) 1 q 1 1 3 xu0047 chip transistor umc3ntr 1 q 1 1 5 x t 0 0 8 4 c h i p t r a n s i s t o r 2 s c 2 9 5 4 t 1 1 q 1 1 6 xt0112 transistor 2sb1292f 1 q 1 1 7 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 1 8 x t 0 0 9 4 c h i p t r a n s i s t o r 2 s a 1 5 7 6 a t 1 0 6 r 1 q 1 1 9 x u 0 1 4 8 c h i p t r a n s i s t o r d t c 1 4 4 e u a t 1 0 6 1 q 1 2 0 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 2 1 x u 0 1 7 8 c h i p t r a n s i s t o r x p 1 2 1 5 - t x 1 q 1 2 2 x t 0 0 9 9 c h i p t r a n s i s t o r 2 s a 1 7 3 6 t e 1 2 r 1 q 1 2 3 x t 0 0 6 1 c h i p t r a n s i s t o r 2 s b 1 1 3 2 t 1 0 0 q 1 q 1 2 4 xu0047 chip transistor umc3ntr 1 q 1 2 5 x e 0 0 2 1 f e t 2 s k 8 8 0 g r t e 8 5 l 1 q 1 2 6 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 2 7 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r cn102 cn103 cn104 cn105 cn106 cn107 cn110 c h i p http://www..net/ datasheet pdf - http://www..net/
3 8 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 q 1 2 8 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 2 9 x u 0 1 4 8 c h i p t r a n s i s t o r d t c 1 4 4 e u a t 1 0 6 1 q 1 3 0 x u 0 1 1 2 c h i p t r a n s i s t o r d t a 1 1 4 y u a t 1 0 6 1 q 1 3 1 x t 0 0 3 0 c h i p t r a n s i s t o r 2 s c 3 3 5 6 t 1 b r 2 4 / 2 5 1 q 1 3 2 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 3 3 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 3 6 x u 0 1 4 8 c h i p t r a n s i s t o r d t c 1 4 4 e u a t 1 0 6 1 q 1 3 7 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 3 9 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 4 0 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 4 1 x u 0 1 4 8 c h i p t r a n s i s t o r d t c 1 4 4 e u a t 1 0 6 1 q 1 4 2 x u 0 1 4 8 c h i p t r a n s i s t o r d t c 1 4 4 e u a t 1 0 6 1 r 1 0 1 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 0 2 r k 3 0 9 1 c h i p r . m c r 0 3 e z p f x 3 9 0 2 1 r 1 0 3 r k 3 0 9 1 c h i p r . m c r 0 3 e z p f x 3 9 0 2 1 r 1 0 4 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 0 5 r k 3 0 2 8 c h i p r . m c r 0 3 e z h j 1 5 1 1 r 1 0 6 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 0 7 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 0 8 r k 3 0 2 3 c h i p r . m c r 0 3 e z h j 5 6 0 1 r 1 0 9 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 1 0 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 1 1 r k 3 0 0 1 c h i p r . m c r 0 3 e z h j 0 0 0 1 r 1 1 2 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 1 4 r k 3 0 4 1 c h i p r . m c r 0 3 e z h j 1 8 2 1 r 1 1 5 r k 3 0 4 3 c h i p r . m c r 0 3 e z h j 2 7 2 1 r 1 1 6 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 1 7 r k 3 0 7 1 c h i p r . m c r 0 3 e z h j 5 6 4 1 r 1 1 8 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 1 9 r k 3 0 5 2 c h i p r . m c r 0 3 e z h j 1 5 3 1 r 1 2 0 r k 3 0 4 5 c h i p r . m c r 0 3 e z h j 3 9 2 1 r 1 2 1 r k 3 0 6 3 c h i p r . m c r 0 3 e z h j 1 2 4 1 r 1 2 2 r k 3 0 5 9 c h i p r . m c r 0 3 e z h j 5 6 3 1 r 1 2 3 r k 3 0 6 1 c h i p r . m c r 0 3 e z h j 8 2 3 1 r 1 2 4 r k 3 0 5 7 c h i p r . m c r 0 3 e z h j 3 9 3 1 r 1 2 5 r k 3 0 3 6 c h i p r . m c r 0 3 e z h j 6 8 1 1 r 1 2 6 r k 3 0 4 9 c h i p r . m c r 0 3 e z h j 8 2 2 1 r 1 2 8 r k 3 0 6 0 c h i p r . m c r 0 3 e z h j 6 8 3 1 r 1 2 9 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 3 0 r k 3 0 6 0 c h i p r . m c r 0 3 e z h j 6 8 3 1 r 1 3 1 r k 3 0 6 1 c h i p r . m c r 0 3 e z h j 8 2 3 1 r 1 3 2 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 3 3 r k 3 0 3 7 c h i p r . m c r 0 3 e z h j 8 2 1 1 r 1 3 4 r k 3 0 5 5 c h i p r . m c r 0 3 e z h j 2 7 3 1 r 1 3 5 r k 3 0 5 2 c h i p r . m c r 0 3 e z h j 1 5 3 1 r 1 3 6 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 3 7 r k 3 0 6 7 c h i p r . m c r 0 3 e z h j 2 7 4 1 r 1 3 8 r k 3 0 5 9 c h i p r . m c r 0 3 e z h j 5 6 3 1 r 1 3 9 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 4 0 r k 3 0 7 2 c h i p r . m c r 0 3 e z h j 6 8 4 1 r 1 4 1 r k 3 0 6 4 c h i p r . m c r 0 3 e z h j 1 5 4 1 r 1 4 2 r k 3 0 5 7 c h i p r . m c r 0 3 e z h j 3 9 3 1 r 1 4 3 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 4 4 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 1 4 7 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 4 8 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 1 5 1 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 5 2 r k 3 0 0 1 c h i p r . m c r 0 3 e z h j 0 0 0 1 r 1 5 3 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 5 5 r k 3 0 5 2 c h i p r . m c r 0 3 e z h j 1 5 3 1 r 1 5 6 r k 3 0 3 4 c h i p r . m c r 0 3 e z h j 4 7 1 1 r 1 5 7 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 1 5 8 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 6 0 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 1 6 1 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 1 6 2 r k 3 0 2 3 c h i p r . m c r 0 3 e z h j 5 6 0 1 r 1 6 3 r k 3 0 1 4 c h i p r . m c r 0 3 e z h j 1 0 0 1 r 1 6 4 r k 3 0 1 4 c h i p r . m c r 0 3 e z h j 1 0 0 1 r 1 6 5 r k 3 0 7 4 c h i p r . m c r 0 3 e z h j 1 0 5 1 r 1 6 7 r k 3 0 5 2 c h i p r . m c r 0 3 e z h j 1 5 3 1 r 1 6 8 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 1 7 1 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 1 7 2 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 1 7 3 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 7 4 r k 3 0 3 4 c h i p r . m c r 0 3 e z h j 4 7 1 1 r 1 7 6 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 7 9 r k 3 0 7 4 c h i p r . m c r 0 3 e z h j 1 0 5 1 r 1 8 0 r k 3 0 0 1 c h i p r . m c r 0 3 e z h j 0 0 0 1 r 1 8 1 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 1 8 2 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 1 8 3 r k 3 0 7 4 c h i p r . m c r 0 3 e z h j 1 0 5 1 r 1 8 4 r k 3 0 5 7 r 1 8 5 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 1 8 6 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 8 7 r k 3 0 5 8 c h i p r . m c r 0 3 e z h j 4 7 3 1 r 1 8 9 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 9 0 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 9 1 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 9 2 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 r 1 9 3 r k 3 0 4 3 c h i p r . m c r 0 3 e z h j 2 7 2 1 r 1 9 5 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 1 9 6 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 9 8 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 0 0 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 2 0 2 r k 0 0 2 8 c h i p r . e r j 6 g e y j 4 7 1 v 1 r 2 0 3 r k 3 0 5 6 c h i p r . m c r 0 3 e z h j 3 3 3 1 r 2 0 4 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 2 0 5 r k 0 0 6 9 c h i p r . e r j 6 g e y j 1 0 4 v 1 r 2 0 6 r k 0 0 0 1 c h i p r . e r j 6 g e y j 1 0 0 v 1 r 2 0 7 r k 3 0 5 2 c h i p r . m c r 0 3 e z h j 1 5 3 1 r 2 0 8 r k 3 0 2 8 c h i p r . m c r 0 3 e z h j 1 5 1 1 r 2 0 9 r k 3 0 6 1 c h i p r . m c r 0 3 e z h j 8 2 3 1 r 2 1 0 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 1 1 r k 4 0 1 8 c h i p r . e r j 1 2 y j 2 2 0 u 1 r 2 1 2 r k 4 0 2 6 c h i p r . e r j 1 2 y j 1 0 1 u 1 r 2 1 3 r k 3 0 4 9 c h i p r . m c r 0 3 e z h j 8 2 2 1 r 2 1 4 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 1 5 r k 3 0 4 1 c h i p r . m c r 0 3 e z h j 1 8 2 1 r 2 1 6 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 2 1 7 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 2 1 8 r k 3 0 5 8 c h i p r . m c r 0 3 e z h j 4 7 3 1 r 2 1 9 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 2 2 0 r k 4 0 3 4 c h i p r . e r j 1 2 y j 4 7 1 u 1 r 2 2 1 r k 3 0 5 2 c h i p r . m c r 0 3 e z h j 1 5 3 1 r 2 2 2 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 2 3 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 2 2 4 r k 4 0 2 6 c h i p r . e r j 1 2 y j 1 0 1 u 1 r 2 2 5 r k 3 0 0 1 c h i p r . m c r 0 3 e z h j 0 0 0 1 r 2 2 6 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 2 2 7 r k 3 0 3 0 c h i p r . m c r 0 3 e z h j 2 2 1 1 r 2 2 8 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 2 2 9 r k 3 0 4 8 c h i p r . m c r 0 3 e z h j 6 8 2 1 r 2 3 0 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 2 3 1 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 3 2 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 3 4 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 2 3 5 r k 3 0 5 3 c h i p r . m c r 0 3 e z h j 1 8 3 1 r 2 3 6 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 3 7 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 2 3 8 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 2 3 9 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 4 0 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 4 1 r k 3 0 5 1 c h i p r . m c r 0 3 e z h j 1 2 3 1 r 2 4 2 r k 3 0 4 4 c h i p r . m c r 0 3 e z h j 3 3 2 1 r 2 4 3 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 2 4 4 r k 3 0 6 8 c h i p r . m c r 0 3 e z h j 3 3 4 1 r 2 4 5 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 4 6 r k 3 0 4 6 c h i p r . m c r 0 3 e z h j 4 7 2 1 r 2 4 7 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 4 8 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 2 4 9 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 2 5 0 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 2 5 1 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 5 2 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 2 5 3 r k 3 0 5 7 c h i p r . m c r 0 3 e z h j 3 9 3 1 r 2 5 4 r k 3 0 5 7 c h i p r . m c r 0 3 e z h j 3 9 3 1 r 2 5 5 r k 3 0 4 6 c h i p r . m c r 0 3 e z h j 4 7 2 1 r 2 5 6 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 2 5 7 r k 3 0 4 6 c h i p r . m c r 0 3 e z h j 4 7 2 1 r 2 5 8 r k 3 0 5 7 c h i p r . m c r 0 3 e z h j 3 9 3 1 r 2 5 9 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 6 0 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 2 6 1 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 2 6 2 r k 3 0 6 8 c h i p r . m c r 0 3 e z h j 3 3 4 1 r 2 6 3 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 6 4 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 6 5 r k 3 0 4 7 c h i p r . m c r 0 3 e z h j 5 6 2 1 r 2 6 6 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 6 7 r k 3 0 3 0 c h i p r . m c r 0 3 e z h j 2 2 1 1 r 2 6 9 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 2 7 1 r k 4 0 3 4 c h i p r . e r j 1 2 y j 4 7 1 u 1 r 2 7 2 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 7 3 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 2 7 4 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 7 5 r k 3 0 2 2 c h i p r . m c r 0 3 e z h j 4 7 0 1 r 2 7 7 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 2 7 9 r k 3 0 4 6 c h i p r . m c r 0 3 e z h j 4 7 2 1 r 2 8 0 r k 3 0 5 8 c h i p r . m c r 0 3 e z h j 4 7 3 1 r 2 8 1 r k 3 0 4 1 c h i p r . m c r 0 3 e z h j 1 8 2 1 r 2 8 2 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 8 3 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 8 4 r k 3 0 3 0 c h i p r . m c r 0 3 e z h j 2 2 1 1 r 2 8 5 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 8 6 r k 3 0 4 5 c h i p r . m c r 0 3 e z h j 3 9 2 1 r 2 8 7 r k 3 0 4 6 c h i p r . m c r 0 3 e z h j 4 7 2 1 r 2 8 8 r k 3 0 1 4 c h i p r . m c r 0 3 e z h j 1 0 0 1 r 2 9 0 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 9 1 r k 3 0 0 1 c h i p r . m c r 0 3 e z h j 0 0 0 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r c h i p r . m c r 0 3 e z h j 3 9 3 1 http://www..net/ datasheet pdf - http://www..net/
3 9 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 r 2 9 5 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 9 7 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 3 0 3 r k 3 0 5 7 c h i p r . m c r 0 3 e z h j 3 9 3 1 r 3 0 4 r k 3 0 5 7 c h i p r . m c r 0 3 e z h j 3 9 3 1 r 3 0 6 r k 3 0 7 6 c h i p r . m c r 0 3 e z h j 1 5 5 1 r 3 0 8 r k 3 0 7 6 c h i p r . m c r 0 3 e z h j 1 5 5 1 r 3 0 9 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 3 1 1 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 3 1 2 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 3 1 3 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 3 1 5 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 3 1 6 r k 3 0 5 1 c h i p r . m c r 0 3 e z h j 1 2 3 1 r 3 1 8 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 3 1 9 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 3 2 0 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 3 2 2 rd0108 jumper j1/6z 1 r 3 2 3 r k 3 0 0 1 c h i p r . m c r 0 3 e z h j 0 0 0 1 r 3 2 4 r k 3 0 1 4 c h i p r . m c r 0 3 e z h j 1 0 0 1 r 3 2 5 r k 3 0 6 6 c h i p r . m c r 0 3 e z h j 2 2 4 1 r 3 2 6 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 3 2 7 r k 3 0 9 2 c h i p r . m c r 0 3 e z p f x 7 5 0 2 1 r 3 2 8 r d 3 0 1 3 r e s i s t o r e r x 1 s j 1 0 0 1 r 3 2 9 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 3 3 0 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 3 3 1 r k 3 0 4 0 c h i p r . m c r 0 3 e z h j 1 5 2 1 r 3 3 2 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 3 3 3 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 3 3 4 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 t c 1 0 1 ct0012 trimmer ctz3s-10a-w1-p 1 t h 1 0 1 x s 0 0 3 1 t h e r m i s t o r n t c c m 1 6 0 8 4 b h 6 8 2 k c t 1 r h 0 1 4 6 t r i m m e r r . m v r 2 2 h x b r n 4 7 3 1 r h 0 1 4 8 t r i m m e r r . m v r 2 2 h x b r n 1 0 4 1 r h 0 1 4 2 t r i m m e r r . m v r 2 2 h x b r n 1 0 3 1 r h 0 1 4 4 t r i m m e r r . m v r 2 2 h x b r n 2 2 3 1 r h 0 1 4 2 t r i m m e r r . m v r 2 2 h x b r n 1 0 3 1 r h 0 1 4 6 t r i m m e r r . m v r 2 2 h x b r n 4 7 3 1 r h 0 1 4 0 t r i m m e r r . m v r 2 2 h x b r n 4 7 2 1 r h 0 1 4 8 t r i m m e r r . m v r 2 2 h x b r n 1 0 4 1 w 1 0 1 w 1 0 2 x 1 0 1 x k 0 0 0 2 d i s c r i m i n a t o r c d b m 4 5 5 c 7 1 x 1 0 3 x q 0 0 9 6 x t a l 1 2 . 8 m h z 5 p p m u m 5 1 x 1 0 4 x q 0 0 5 8 a x t a l u m - 5 3 0 . 3 9 5 m h z 1 x f 1 0 1 x f 0 0 1 4 z x t a l f i l t e r 3 0 m 1 5 2 a 3 0 . 8 5 m h z 1 s d 0 0 3 4 g n d s p r i n g d r 1 3 0 1 t s 0 1 6 4 a v c o c a s e d r 2 3 5 1 t z 0 0 4 9 s i l i c o n d u m p e r 1 t z 0 0 7 2 s h e e t 1 u p 0 4 1 4 p . c . b o a r d 1 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c 5 0 3 c u 3 0 3 9 c h i p c . c 1 6 0 8 j b 1 h 2 2 2 k t - a s 1 c 5 0 4 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 5 0 5 c s 0 2 2 0 c h i p t a n t a l u m t m c m a 1 c 2 2 5 m t r 1 c 5 0 6 c s 0 2 2 0 c h i p t a n t a l u m t m c m a 1 c 2 2 5 m t r 1 c 5 0 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 0 8 c s 0 0 6 3 c h i p t a n t a l u m t m c s a 1 v 1 0 4 m t r 1 c 5 1 1 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 5 1 2 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 5 1 3 c u 3 0 0 8 c h i p c . c 1 6 0 8 c h 1 h 0 7 0 c t - a 1 c 5 1 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 1 5 c u 3 0 0 6 c h i p c . c 1 6 0 8 c h 1 h 0 5 0 c t - a s 1 c 5 1 6 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 1 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 1 8 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 5 1 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 2 0 c s 0 3 8 2 c h i p t a n t a l u m t m c m b 1 a 2 2 6 m t r 1 c 5 2 3 c u 3 0 0 4 c h i p c . c 1 6 0 8 c h 1 h 0 3 0 c t - a s 1 c 5 2 4 c u 3 0 2 7 c h i p c . c 1 6 0 8 c h 1 h 2 2 1 j t - a s 1 c 5 2 5 c u 3 0 0 9 c h i p c . c 1 6 0 8 c h 1 h 0 8 0 c t - a 1 c 5 2 6 c u 3 0 0 2 c h i p c . c 1 6 0 8 c h 1 h 0 1 0 c t - a s 1 c 5 2 7 c u 3 0 0 9 c h i p c . c 1 6 0 8 c h 1 h 0 8 0 c t - a 1 c 5 2 8 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 5 2 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 3 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 3 1 c u 3 0 1 1 c h i p c . c 1 6 0 8 c h 1 h 1 0 0 d t - a s 1 c n 5 0 1 u e 0 4 2 0 c o n n e c t o r b 8 p - b c - 2 1 c n 5 0 2 u e 0 1 8 5 c o n n e c t o r b 6 p - b c - 2 1 d 5 0 1 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 d 5 0 3 x d 0 3 0 0 c h i p d i o d e 1 s v 2 6 2 t p h 2 1 d 5 0 4 x d 0 3 0 0 c h i p d i o d e 1 s v 2 6 2 t p h 2 1 i c 5 0 1 x a 0 3 5 2 i c m 6 4 0 7 6 g p 1 l 5 0 1 q c 0 1 0 4 c h i p i n d u c t o r l e r 0 1 5 t 1 r 5 m 1 l 5 0 3 q a 0 1 4 7 c h i p i n d u c t o r 4 v p - 5 . 2 5 t 1 l 5 0 4 q c 0 1 0 4 c h i p i n d u c t o r l e r 0 1 5 t 1 r 5 m 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r v r 1 0 1 v r 1 0 2 v r 1 0 3 v r 1 0 4 v r 1 0 5 v r 1 0 6 v r 1 0 7 v r 1 0 8 wire #22bh1-020-h1 1 wire #30bh1-020-h1 1 mbag02gg mbcl02gg vco unit (DR-235) r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 l 5 0 5 q c 0 1 0 4 c h i p i n d u c t o r l e r 0 1 5 t 1 r 5 m 1 l 5 0 6 q c 0 5 4 4 c h i p i n d u c t o r l e r 0 1 5 t r 4 7 m 1 l 5 0 7 q c 0 4 3 0 c h i p i n d u c t o r m l f 1 6 0 8 d r 1 0 k - t 1 q 5 0 1 x t 0 1 2 4 c h i p t r a n s i s t o r 2 s c 4 2 1 5 - y ( t e 8 5 l ) 1 q 5 0 3 x e 0 0 1 0 f e t 2 s k 5 0 8 k 5 2 t 2 b 1 q 5 0 4 x t 0 1 2 4 c h i p t r a n s i s t o r 2 s c 4 2 1 5 - y ( t e 8 5 l ) 1 r 5 0 2 r k 3 0 2 2 c h i p r . m c r 0 3 e z h j 4 7 0 1 r 5 0 3 r k 3 0 3 0 c h i p r . m c r 0 3 e z h j 2 2 1 1 r 5 0 4 r k 3 0 0 1 c h i p r . m c r 0 3 e z h j 0 0 0 1 r 5 0 5 r k 3 0 4 8 c h i p r . m c r 0 3 e z h j 6 8 2 1 r 5 0 6 r k 3 0 5 2 c h i p r . m c r 0 3 e z h j 1 5 3 1 r 5 0 7 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 5 0 8 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 5 0 9 r k 3 0 3 4 c h i p r . m c r 0 3 e z h j 4 7 1 1 r 5 1 0 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 5 1 1 r k 3 0 4 4 c h i p r . m c r 0 3 e z h j 3 3 2 1 r 5 1 2 r k 3 0 2 2 c h i p r . m c r 0 3 e z h j 4 7 0 1 r 5 1 3 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 5 1 4 r k 3 0 6 0 c h i p r . m c r 0 3 e z h j 6 8 3 1 r 5 1 8 r k 3 0 2 5 c h i p r . m c r 0 3 e z h j 8 2 0 1 r 5 1 9 r k 3 0 2 2 c h i p r . m c r 0 3 e z h j 4 7 0 1 r 5 2 0 r k 3 0 4 5 c h i p r . m c r 0 3 e z h j 3 9 2 1 r 5 2 1 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 5 2 2 r k 3 0 3 4 c h i p r . m c r 0 3 e z h j 4 7 1 1 r 5 2 3 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c 1 0 1 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 0 2 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 0 3 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 1 0 4 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 0 5 c s 0 3 9 4 c h i p t a n t a l u m t m c m b 0 j 4 7 6 m t r 1 c 1 0 6 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 1 0 7 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 0 8 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 0 9 c s 0 3 7 2 c h i p t a n t a l u m t m c m b 1 c 1 0 6 m t r 1 c 1 1 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 1 1 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 1 2 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 1 3 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 1 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 1 5 c e 0 3 5 0 e l e c t r o l y t i c c . 1 6 m v 1 0 0 h c 1 c 1 1 6 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 1 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 1 8 c u 3 0 4 9 c h i p c . c 1 6 0 8 j b 1 e 1 5 3 k t - n s 1 c 1 1 9 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 1 2 0 c u 3 0 2 0 c h i p c . c 1 6 0 8 c h 1 h 5 6 0 j t - a s 1 c 1 2 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 2 2 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 2 3 c u 3 0 1 2 c h i p c . c 1 6 0 8 c h 1 h 1 2 0 j t - a s 1 c 1 2 4 c u 3 0 4 0 c h i p c . c 1 6 0 8 j b 1 h 2 7 2 k t - n s 1 c 1 2 5 c u 3 0 4 4 c h i p c . c 1 6 0 8 j b 1 h 5 6 2 k t - n s 1 c 1 2 6 c u 3 0 3 8 c h i p c . c 1 6 0 8 j b 1 h 1 8 2 k t - a s 1 c 1 2 7 c u 3 0 4 1 c h i p c . c 1 6 0 8 j b 1 h 3 3 2 k t - n s 1 c 1 2 9 c u 3 0 0 8 c h i p c . c 1 6 0 8 c h 1 h 0 7 0 c t - a 1 c 1 3 0 c s 0 2 2 0 c h i p t a n t a l u m t m c m a 1 c 2 2 5 m t r 1 c 1 3 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 3 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 3 3 c u 3 0 2 7 c h i p c . c 1 6 0 8 c h 1 h 2 2 1 j t - a s 1 c 1 3 4 c u 3 0 4 2 c h i p c . c 1 6 0 8 j b 1 h 3 9 2 k t - n s 1 c 1 3 5 c u 3 0 4 4 c h i p c . c 1 6 0 8 j b 1 h 5 6 2 k t - n s 1 c 1 3 7 c u 3 0 1 7 c h i p c . c 1 6 0 8 c h 1 h 3 3 0 j t - a s 1 c 1 3 8 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 1 3 9 c u 3 0 3 1 c h i p c . c 1 6 0 8 j b 1 h 4 7 1 k t - a s 1 c 1 4 1 c u 3 0 0 8 c h i p c . c 1 6 0 8 c h 1 h 0 7 0 c t - a 1 c 1 4 2 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 4 3 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 4 4 c u 3 0 1 5 c h i p c . c 1 6 0 8 c h 1 h 2 2 0 j t - a s 1 c 1 4 5 c u 3 0 6 4 c h i p c . c 1 6 0 8 c h 1 h 1 r 5 c t - a s 1 c 1 4 6 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 1 4 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 5 0 c u 3 0 1 6 c h i p c . c 1 6 0 8 c h 1 h 2 7 0 j t - a s 1 c 1 5 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 5 2 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 1 5 3 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 1 5 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 5 5 c u 3 0 1 1 c h i p c . c 1 6 0 8 c h 1 h 1 0 0 d t - a s 1 c 1 5 6 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 5 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 5 8 c u 3 0 0 4 c h i p c . c 1 6 0 8 c h 1 h 0 3 0 c t - a s 1 c 1 5 9 c u 3 0 1 8 c h i p c . c 1 6 0 8 c h 1 h 3 9 0 j t - a s 1 c 1 6 0 c u 3 0 1 6 c h i p c . c 1 6 0 8 c h 1 h 2 7 0 j t - a s 1 c 1 6 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 6 2 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 1 6 5 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r main unit (dr-435) http://www..net/ datasheet pdf - http://www..net/
4 0 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c 1 6 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 6 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 7 0 c u 3 0 1 6 c h i p c . c 1 6 0 8 c h 1 h 2 7 0 j t - a s 1 c 1 7 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 7 4 c u 3 0 2 9 c h i p c . c 1 6 0 8 j b 1 h 3 3 1 k t - a s 1 c 1 7 5 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 7 6 c u 3 0 1 6 c h i p c . c 1 6 0 8 c h 1 h 2 7 0 j t - a s 1 c 1 7 9 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 1 8 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 5 c s 0 2 3 2 c h i p t a n t a l u m t m c m a 1 v 4 7 4 m t r 1 c 1 8 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 8 9 c u 3 0 1 5 c h i p c . c 1 6 0 8 c h 1 h 2 2 0 j t - a s 1 c 1 9 0 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 9 1 c u 3 1 0 2 c h i p c . c 1 6 0 8 j b 1 c 3 3 3 k t - n s 1 c 1 9 2 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 1 9 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 1 9 4 c u 3 0 0 4 c h i p c . c 1 6 0 8 c h 1 h 0 3 0 c t - a s 1 c 1 9 5 c u 3 0 6 4 c h i p c . c 1 6 0 8 c h 1 h 1 r 5 c t - a s 1 c 1 9 6 c u 3 0 1 1 c h i p c . c 1 6 0 8 c h 1 h 1 0 0 d t - a s 1 c 1 9 7 c u 4 0 3 3 c h i p c . g r m 4 2 - 6 x 7 r 1 0 2 k 5 0 0 p t 1 c 1 9 8 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 1 9 9 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 0 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 0 1 c u 4 0 0 3 c h i p c . g r m 4 2 - 6 c k 0 2 0 c 5 0 0 p t 1 c 2 0 2 c u 4 0 1 1 c h i p c . g r m 4 2 - 6 c h 1 0 0 d 5 0 0 p t 1 c 2 0 3 c u 4 0 0 4 c h i p c . g r m 4 2 - 6 c j 0 3 0 c 5 0 0 p t 1 c 2 0 4 c u 4 0 0 3 c h i p c . g r m 4 2 - 6 c k 0 2 0 c 5 0 0 p t 1 c 2 0 5 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 0 6 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 0 7 c u 3 0 0 2 c h i p c . c 1 6 0 8 c h 1 h 0 1 0 c t - a s 1 c 2 0 8 c u 3 0 0 2 c h i p c . c 1 6 0 8 c h 1 h 0 1 0 c t - a s 1 c 2 0 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 1 0 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 2 1 1 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 2 1 2 c e 0 3 6 4 e l e c t r o l y t i c c . 1 6 m v 4 7 s w b + t s 1 c 2 1 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 1 4 c u 3 0 0 4 c h i p c . c 1 6 0 8 c h 1 h 0 3 0 c t - a s 1 c 2 1 5 c u 4 0 0 8 c h i p c . g r m 4 2 - 6 c h 0 7 0 d 5 0 0 p t 1 c 2 1 6 c u 4 0 1 1 c h i p c . g r m 4 2 - 6 c h 1 0 0 d 5 0 0 p t 1 c 2 1 7 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 2 1 8 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 2 1 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 1 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 2 2 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 3 c e 0 3 6 4 e l e c t r o l y t i c c . 1 6 m v 4 7 s w b + t s 1 c 2 2 4 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 2 2 5 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 6 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 7 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 2 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 2 9 c u 3 1 0 1 c h i p c . c 1 6 0 8 j b 1 c 4 7 3 k t - n s 1 c 2 3 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 5 c u 3 0 1 1 c h i p c . c 1 6 0 8 c h 1 h 1 0 0 d t - a s 1 c 2 3 6 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 3 8 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 3 9 c s 0 0 6 3 c h i p t a n t a l u m t m c s a 1 v 1 0 4 m t r 1 c 2 4 0 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 4 1 c u 3 0 2 2 c h i p c . c 1 6 0 8 c h 1 h 8 2 0 j t - a s 1 c 2 4 2 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 2 4 3 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 4 4 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 4 5 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 4 6 c u 3 0 4 3 c h i p c . c 1 6 0 8 j b 1 h 4 7 2 k t - n s 1 c 2 4 7 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 2 4 8 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 2 4 9 c u 3 0 3 8 c h i p c . c 1 6 0 8 j b 1 h 1 8 2 k t - a s 1 c 2 5 0 c u 3 0 2 6 c h i p c . c 1 6 0 8 c h 1 h 1 8 1 j t - a s 1 c 2 5 1 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 5 3 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 2 5 4 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 2 5 5 c e 0 3 6 4 e l e c t r o l y t i c c . 1 6 m v 4 7 s w b + t s 1 c 2 5 6 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 2 5 7 c e 0 3 3 9 e l e c t r o l y t i c c . 1 6 m v 1 0 s w b + t s 1 c 2 5 9 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 6 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 6 7 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 2 7 0 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 2 7 7 c e 0 3 4 3 e l e c t r o l y t i c c . 1 6 m v 1 0 0 0 h c + t 1 c 2 7 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 8 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c 2 8 6 c u 3 0 2 7 c h i p c . c 1 6 0 8 c h 1 h 2 2 1 j t - a s 1 c 2 8 9 c s 0 0 4 9 c h i p t a n t a l u m t m c s a 1 c 1 0 5 m t r 1 c 2 9 1 c u 3 0 1 1 c h i p c . c 1 6 0 8 c h 1 h 1 0 0 d t - a s 1 c 2 9 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 9 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 9 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 2 9 8 c u 3 0 0 5 c h i p c . c 1 6 0 8 c h 1 h 0 4 0 c t - a s 1 c 3 0 5 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 3 0 6 c u 3 1 1 1 c h i p c . c 1 6 0 8 j b 1 c 1 0 4 k t - n 1 c 3 0 7 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 3 0 8 c e 0 3 4 2 e l e c t r o l y t i c c . 1 6 m v 4 7 0 h c + t s 1 c 3 0 9 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 3 1 0 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 3 1 1 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 3 1 2 c u 3 0 0 4 c h i p c . c 1 6 0 8 c h 1 h 0 3 0 c t - a s 1 c 3 1 4 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 1 5 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 1 6 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 1 7 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 1 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 3 1 9 c s 0 2 3 7 c h i p t a n t a l u m t m c m a 1 a 4 7 5 m t r 1 c 3 2 0 c e 0 1 0 0 e l e c t r o l y t i c c . 1 6 m v 2 2 u w 1 c 3 2 1 c s 0 2 2 0 c h i p t a n t a l u m t m c m a 1 c 2 2 5 m t r 1 c 3 2 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 u e 0 3 6 9 c o n n e c t o r a x n 4 9 3 0 1 6 1 6 1 u e 0 3 9 7 c o n n e c t o r 1 0 - 5 0 8 2 - 3 1 1 0 - 1 7 - 1 0 0 1 u e 0 3 9 7 c o n n e c t o r 1 0 - 5 0 8 2 - 3 1 1 0 - 1 7 - 1 0 0 1 u a 0 0 3 7 y w i r e d c c a b l e u a 0 0 3 7 1 u e 0 3 9 4 c o n n e c t o r p i 2 8 a 1 5 m 1 u e 0 0 4 3 c o n n e c t o r p i 2 2 a 0 2 m 1 u e 0 3 9 3 c o n n e c t o r p i 2 8 a 1 1 m 1 u e 0 3 6 9 c o n n e c t o r a x n 4 9 3 0 1 6 1 6 1 u e 0 0 4 1 c o n n e c t o r t m p j 0 1 x v 6 1 u e 0 3 4 1 c o n n e c t o r p i 2 8 a 0 2 m 1 d 1 0 1 x d 0 1 4 1 c h i p d i o d e 1 s v 2 3 7 t e 8 5 r 1 d 1 0 2 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 0 3 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 0 4 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 0 5 x d 0 2 9 1 c h i p d i o d e m a 7 2 9 - t x 1 d 1 0 6 x d 0 2 5 0 c h i p d i o d e m a 7 4 2 t x 1 d 1 0 7 x d 0 1 4 1 c h i p d i o d e 1 s v 2 3 7 t e 8 5 r 1 d 1 0 8 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 0 9 x d 0 3 0 1 c h i p d i o d e 1 s v 2 6 8 - t d 1 d 1 1 0 x d 0 0 1 3 d i o d e m i 4 0 7 1 d 1 1 1 x d 0 2 5 0 c h i p d i o d e m a 7 4 2 t x 1 d 1 1 2 x d 0 2 5 0 c h i p d i o d e m a 7 4 2 t x 1 d 1 1 3 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 1 4 x d 0 1 4 1 c h i p d i o d e 1 s v 2 3 7 t e 8 5 r 1 d 1 1 5 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 1 6 x d 0 2 9 7 c h i p d i o d e m a 8 1 0 0 - t x 1 d 1 1 8 x d 0 1 3 0 c h i p d i o d e d a 2 0 4 u t 1 0 6 1 d 1 1 9 x d 0 2 5 4 c h i p d i o d e 1 s s 3 5 5 t e 1 7 1 d 1 2 1 x d 0 2 7 4 d i o d e d s a 3 a 1 1 d 1 2 4 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 d 1 2 7 x d 0 1 6 5 c h i p d i o d e u d z s t e - 1 7 5 . 1 b 1 d 1 2 8 x d 0 2 9 1 c h i p d i o d e m a 7 2 9 - t x 1 d 1 2 9 x d 0 2 9 1 c h i p d i o d e m a 7 2 9 - t x 1 f l 1 0 1 x c 0 0 4 7 c e r a m i c f i l t e r a l f y m 4 5 5 e = k 1 f l 1 0 2 x c 0 0 3 6 c e r a m i c f i l t e r a l f y m 4 5 5 g 1 i c 1 0 1 x a 0 6 7 5 i c l 8 8 m s 0 5 t l l - t l 1 i c 1 0 2 x a 0 3 4 8 i c t c 4 w 5 3 f u ( t e 1 2 ) 1 i c 1 0 3 x a 0 3 4 8 i c t c 4 w 5 3 f u ( t e 1 2 ) 1 i c 1 0 4 x a 0 5 9 6 i c n j m 2 9 0 2 v - t e 1 1 i c 1 0 8 x a 0 3 1 4 i c t k 1 0 4 8 9 m t l 1 i c 1 0 9 x a 0 1 1 5 i c t c 4 s 6 6 f t e 8 5 r 1 i c 1 1 0 x a 0 0 7 7 a i c m 5 7 7 8 8 m e 1 i c 1 1 1 x a 0 2 3 6 i c b u 4 0 5 2 b c f - e 2 1 i c 1 1 3 x a 0 3 4 8 i c t c 4 w 5 3 f u ( t e 1 2 ) 1 i c 1 1 4 x a 0 0 6 8 i c m 5 2 1 8 a f p / 6 0 0 e 1 i c 1 1 5 x a 0 1 0 2 i c n j m 7 8 0 8 f a 1 i c 1 1 7 x a 0 4 1 0 i c l a 4 4 2 5 a 1 j k 1 0 1 u j 0 0 4 6 j a c k m j 8 2 - 1 1 j k 1 0 2 u j 0 0 2 4 z j a c k l g y 6 5 0 1 - 0 6 0 0 1 l 1 0 1 qc0043 chip inductor nl322522t-2r2j-3 1 l 1 0 2 q a 0 1 0 4 c o i l q a 0 1 0 4 1 l 1 0 3 q a 0 1 0 4 c o i l q a 0 1 0 4 1 l 1 0 4 q c 0 0 5 7 c h i p i n d u c t o r n l 3 2 2 5 2 2 t - 0 1 5 j a 1 l 1 0 5 q c 0 0 5 7 c h i p i n d u c t o r n l 3 2 2 5 2 2 t - 0 1 5 j a 1 l 1 0 6 q c 0 0 5 5 c h i p i n d u c t o r n l 3 2 2 5 2 2 t - 0 1 0 j a 1 l 1 0 7 q c 0 0 5 7 c h i p i n d u c t o r n l 3 2 2 5 2 2 t - 0 1 5 j a 1 l 1 0 8 qc0124 chip inductor nl322522t-r15j-3 1 l 1 0 9 q c 0 0 6 1 c h i p i n d u c t o r n l 3 2 2 5 2 2 t - 0 3 3 j a 1 l 1 1 1 q k a 2 5 e c o i l m r 3 . 0 2 . 5 t 0 . 8 1 l 1 1 2 q k a 1 5 d c o i l m r 3 . 0 1 . 5 t 0 . 6 1 l 1 1 3 q c 0 0 6 2 c h i p i n d u c t o r n l 3 2 2 5 2 2 t - 0 3 9 j a 1 l 1 1 4 q k a 1 5 e c o i l m r 3 . 0 1 . 5 t 0 . 8 1 l 1 1 5 q k a 1 5 e c o i l m r 3 . 0 1 . 5 t 0 . 8 1 l 1 1 6 q k a 1 5 e c o i l m r 3 . 0 1 . 5 t 0 . 8 1 l 1 1 7 q k a 2 5 d c o i l m r 3 . 0 2 . 5 t 0 . 6 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r cn101 cn102 cn103 cn104 cn105 cn106 cn107 cn108 cn109 cn110 http://www..net/ datasheet pdf - http://www..net/
4 1 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 l 1 1 8 q k a 9 5 d c o i l m r 3 . 0 9 . 5 t 0 . 6 1 l 1 1 9 q c 0 0 5 9 c h i p i n d u c t o r n l 3 2 2 5 2 2 t - 0 2 2 j a 1 l 1 2 0 q c 0 0 5 9 c h i p i n d u c t o r n l 3 2 2 5 2 2 t - 0 2 2 j a 1 q 1 0 1 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 0 2 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 0 3 xu0152 chip transistor umc5ntr 1 q 1 0 4 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 0 5 x t 0 1 2 5 c h i p t r a n s i s t o r 2 s c 4 2 4 5 - y ( t e 8 5 l ) 1 q 1 0 6 x e 0 0 1 3 c h i p t r a n s i s t o r 3 s k 1 8 4 t x s 1 q 1 0 7 x e 0 0 1 3 c h i p t r a n s i s t o r 3 s k 1 8 4 t x s 1 q 1 0 8 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 1 0 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 1 1 x t 0 1 4 1 c h i p t r a n s i s t o r 2 s c 4 2 2 6 - t 1 r 2 4 1 q 1 1 2 x t 0 1 4 1 c h i p t r a n s i s t o r 2 s c 4 2 2 6 - t 1 r 2 4 1 q 1 1 3 xu0152 chip transistor umc5ntr 1 q 1 1 4 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 1 5 x t 0 0 8 4 c h i p t r a n s i s t o r 2 s c 2 9 5 4 t 1 1 q 1 1 6 xt0112 transistor 2sb1292f 1 q 1 1 7 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 1 8 x t 0 0 9 4 c h i p t r a n s i s t o r 2 s a 1 5 7 6 a t 1 0 6 r 1 q 1 1 9 x u 0 1 4 8 c h i p t r a n s i s t o r d t c 1 4 4 e u a t 1 0 6 1 q 1 2 0 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 2 1 x u 0 1 7 8 c h i p t r a n s i s t o r x p 1 2 1 5 - t x 1 q 1 2 2 x t 0 0 9 9 c h i p t r a n s i s t o r 2 s a 1 7 3 6 t e 1 2 r 1 q 1 2 3 x t 0 0 6 1 c h i p t r a n s i s t o r 2 s b 1 1 3 2 t 1 0 0 q 1 q 1 2 4 xu0152 chip transistor umc5ntr 1 q 1 2 5 x t 0 0 4 8 c h i p t r a n s i s t o r 2 s c 3 3 5 7 r e t 1 1 q 1 2 6 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 2 7 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 2 8 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 2 9 x u 0 1 4 8 c h i p t r a n s i s t o r d t c 1 4 4 e u a t 1 0 6 1 q 1 3 0 x u 0 1 1 2 c h i p t r a n s i s t o r d t a 1 1 4 y u a t 1 0 6 1 q 1 3 1 x t 0 1 4 1 c h i p t r a n s i s t o r 2 s c 4 2 2 6 - t 1 r 2 4 1 q 1 3 2 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 3 3 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 3 4 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 3 5 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 3 6 x u 0 1 4 8 c h i p t r a n s i s t o r d t c 1 4 4 e u a t 1 0 6 1 q 1 3 7 x u 0 1 3 1 c h i p t r a n s i s t o r d t c 1 1 4 e u a t 1 0 6 1 q 1 3 9 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 4 0 x t 0 0 9 5 c h i p t r a n s i s t o r 2 s c 4 0 8 1 t 1 0 6 r 1 q 1 4 1 x u 0 1 4 8 c h i p t r a n s i s t o r d t c 1 4 4 e u a t 1 0 6 1 q 1 4 2 x u 0 1 4 8 c h i p t r a n s i s t o r d t c 1 4 4 e u a t 1 0 6 1 r 1 0 1 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 0 2 r k 3 0 9 1 c h i p r . m c r 0 3 e z p f x 3 9 0 2 1 r 1 0 3 r k 3 0 9 1 c h i p r . m c r 0 3 e z p f x 3 9 0 2 1 r 1 0 4 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 0 5 r k 3 0 2 8 c h i p r . m c r 0 3 e z h j 1 5 1 1 r 1 0 6 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 0 7 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 0 9 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 1 0 r k 3 0 6 8 c h i p r . m c r 0 3 e z h j 3 3 4 1 r 1 1 1 r k 3 0 4 4 c h i p r . m c r 0 3 e z h j 3 3 2 1 r 1 1 2 r k 3 0 2 2 c h i p r . m c r 0 3 e z h j 4 7 0 1 r 1 1 3 r k 3 0 2 2 c h i p r . m c r 0 3 e z h j 4 7 0 1 r 1 1 4 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 1 1 5 r k 3 0 4 1 c h i p r . m c r 0 3 e z h j 1 8 2 1 r 1 1 6 r k 3 0 3 0 c h i p r . m c r 0 3 e z h j 2 2 1 1 r 1 1 7 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 1 1 8 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 1 9 r k 3 0 5 2 c h i p r . m c r 0 3 e z h j 1 5 3 1 r 1 2 0 r k 3 0 4 5 c h i p r . m c r 0 3 e z h j 3 9 2 1 r 1 2 1 r k 3 0 6 3 c h i p r . m c r 0 3 e z h j 1 2 4 1 r 1 2 2 r k 3 0 5 9 c h i p r . m c r 0 3 e z h j 5 6 3 1 r 1 2 3 r k 3 0 6 1 c h i p r . m c r 0 3 e z h j 8 2 3 1 r 1 2 4 r k 3 0 5 7 c h i p r . m c r 0 3 e z h j 3 9 3 1 r 1 2 5 r k 3 0 3 6 c h i p r . m c r 0 3 e z h j 6 8 1 1 r 1 2 6 r k 3 0 4 9 c h i p r . m c r 0 3 e z h j 8 2 2 1 r 1 2 8 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 1 2 9 r k 0 0 6 9 c h i p r . e r j 6 g e y j 1 0 4 v 1 r 1 3 1 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 1 3 2 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 3 3 r k 3 0 3 2 c h i p r . m c r 0 3 e z h j 3 3 1 1 r 1 3 4 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 1 3 5 r k 3 0 5 6 c h i p r . m c r 0 3 e z h j 3 3 3 1 r 1 3 6 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 3 7 r k 3 0 6 7 c h i p r . m c r 0 3 e z h j 2 7 4 1 r 1 3 8 r k 3 0 5 9 c h i p r . m c r 0 3 e z h j 5 6 3 1 r 1 3 9 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 4 0 r k 3 0 7 2 c h i p r . m c r 0 3 e z h j 6 8 4 1 r 1 4 1 r k 3 0 6 4 c h i p r . m c r 0 3 e z h j 1 5 4 1 r 1 4 2 r k 3 0 5 5 c h i p r . m c r 0 3 e z h j 2 7 3 1 r 1 4 3 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 4 4 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 1 4 7 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 4 8 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 1 5 0 r k 3 0 5 8 c h i p r . m c r 0 3 e z h j 4 7 3 1 r 1 5 1 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 5 2 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 r 1 5 3 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 5 4 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 1 5 5 r k 3 0 5 2 c h i p r . m c r 0 3 e z h j 1 5 3 1 r 1 5 6 r k 3 0 3 4 c h i p r . m c r 0 3 e z h j 4 7 1 1 r 1 5 7 r k 3 0 5 8 c h i p r . m c r 0 3 e z h j 4 7 3 1 r 1 5 8 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 6 0 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 6 1 r k 3 0 3 4 c h i p r . m c r 0 3 e z h j 4 7 1 1 r 1 6 2 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 6 3 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 6 4 r k 0 1 0 7 c h i p r . e r j 6 g e y 0 r 0 0 v 1 r 1 6 5 r k 3 0 7 4 c h i p r . m c r 0 3 e z h j 1 0 5 1 r 1 6 8 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 1 7 2 r k 3 0 5 6 c h i p r . m c r 0 3 e z h j 3 3 3 1 r 1 7 3 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 7 6 r k 3 0 4 4 c h i p r . m c r 0 3 e z h j 3 3 2 1 r 1 8 0 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 8 1 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 1 8 2 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 1 8 3 r k 3 0 6 0 c h i p r . m c r 0 3 e z h j 6 8 3 1 r 1 8 4 r k 3 0 5 8 c h i p r . m c r 0 3 e z h j 4 7 3 1 r 1 8 5 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 1 8 6 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 1 8 7 r k 3 0 5 8 c h i p r . m c r 0 3 e z h j 4 7 3 1 r 1 8 8 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 1 8 9 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 9 0 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 9 1 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 9 2 r k 3 0 5 8 c h i p r . m c r 0 3 e z h j 4 7 3 1 r 1 9 3 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 9 5 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 1 9 6 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 1 9 7 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 1 9 8 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 1 9 9 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 2 0 0 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 2 0 1 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 2 0 3 r k 3 0 5 6 c h i p r . m c r 0 3 e z h j 3 3 3 1 r 2 0 4 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 2 0 6 r k 0 1 3 0 c h i p r . e r j 6 g e y j 4 r 7 v 1 r 2 0 7 r k 3 0 5 2 c h i p r . m c r 0 3 e z h j 1 5 3 1 r 2 0 8 r k 3 0 3 4 c h i p r . m c r 0 3 e z h j 4 7 1 1 r 2 0 9 r k 3 0 6 1 c h i p r . m c r 0 3 e z h j 8 2 3 1 r 2 1 0 r k 3 0 3 0 c h i p r . m c r 0 3 e z h j 2 2 1 1 r 2 1 1 r k 4 0 1 8 c h i p r . e r j 1 2 y j 2 2 0 u 1 r 2 1 2 r k 4 0 2 6 c h i p r . e r j 1 2 y j 1 0 1 u 1 r 2 1 3 r k 3 0 4 9 c h i p r . m c r 0 3 e z h j 8 2 2 1 r 2 1 4 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 1 5 r k 3 0 3 6 c h i p r . m c r 0 3 e z h j 6 8 1 1 r 2 1 6 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 2 1 7 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 2 1 8 r k 3 0 6 0 c h i p r . m c r 0 3 e z h j 6 8 3 1 r 2 1 9 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 2 2 0 r k 4 0 3 4 c h i p r . e r j 1 2 y j 4 7 1 u 1 r 2 2 1 r k 3 0 5 1 c h i p r . m c r 0 3 e z h j 1 2 3 1 r 2 2 2 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 2 3 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 2 2 4 r k 3 0 1 8 c h i p r . m c r 0 3 e z h j 2 2 0 1 r 2 2 6 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 2 7 r k 3 0 3 4 c h i p r . m c r 0 3 e z h j 4 7 1 1 r 2 2 8 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 2 2 9 r k 3 0 4 5 c h i p r . m c r 0 3 e z h j 3 9 2 1 r 2 3 0 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 3 1 r k 3 0 2 2 c h i p r . m c r 0 3 e z h j 4 7 0 1 r 2 3 4 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 2 3 5 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 3 6 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 3 7 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 2 3 8 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 2 3 9 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 4 0 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 4 1 r k 3 0 5 1 c h i p r . m c r 0 3 e z h j 1 2 3 1 r 2 4 2 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 4 3 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 2 4 4 r k 3 0 6 8 c h i p r . m c r 0 3 e z h j 3 3 4 1 r 2 4 5 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 4 6 r k 3 0 4 6 c h i p r . m c r 0 3 e z h j 4 7 2 1 r 2 4 7 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 4 8 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 2 4 9 r k 3 0 4 4 c h i p r . m c r 0 3 e z h j 3 3 2 1 r 2 5 0 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 2 5 1 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 5 2 r k 3 0 7 0 c h i p r . m c r 0 3 e z h j 4 7 4 1 r 2 5 3 r k 3 0 5 7 c h i p r . m c r 0 3 e z h j 3 9 3 1 r 2 5 4 r k 3 0 5 7 c h i p r . m c r 0 3 e z h j 3 9 3 1 r 2 5 5 r k 3 0 4 6 c h i p r . m c r 0 3 e z h j 4 7 2 1 r 2 5 6 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 2 5 7 r k 3 0 4 6 c h i p r . m c r 0 3 e z h j 4 7 2 1 r 2 5 8 r k 3 0 5 7 c h i p r . m c r 0 3 e z h j 3 9 3 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r http://www..net/ datasheet pdf - http://www..net/
4 2 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 r 2 5 9 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 6 0 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 2 6 1 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 2 6 2 r k 3 0 6 9 c h i p r . m c r 0 3 e z h j 3 9 4 1 r 2 6 3 r k 3 0 5 1 c h i p r . m c r 0 3 e z h j 1 2 3 1 r 2 6 4 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 6 5 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 2 6 6 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 6 8 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 6 9 r k 3 0 7 4 c h i p r . m c r 0 3 e z h j 1 0 5 1 r 2 7 1 r k 4 0 3 4 c h i p r . e r j 1 2 y j 4 7 1 u 1 r 2 7 2 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 7 3 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 7 4 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 7 7 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 2 7 9 r k 3 0 4 6 c h i p r . m c r 0 3 e z h j 4 7 2 1 r 2 8 0 r k 1 0 2 8 c h i p r . e r j 8 g e y j 4 7 1 v 1 r 2 8 1 r k 3 0 4 1 c h i p r . m c r 0 3 e z h j 1 8 2 1 r 2 8 2 r k 3 0 1 8 c h i p r . m c r 0 3 e z h j 2 2 0 1 r 2 8 3 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 8 7 r k 3 0 4 6 c h i p r . m c r 0 3 e z h j 4 7 2 1 r 2 9 1 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 2 9 3 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 2 9 5 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 2 9 6 r k 3 0 5 8 c h i p r . m c r 0 3 e z h j 4 7 3 1 r 2 9 7 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 3 0 3 r k 3 0 4 9 c h i p r . m c r 0 3 e z h j 8 2 2 1 r 3 0 4 r k 3 0 4 9 c h i p r . m c r 0 3 e z h j 8 2 2 1 r 3 0 5 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 3 0 6 r k 3 0 7 6 c h i p r . m c r 0 3 e z h j 1 5 5 1 r 3 0 8 r k 3 0 7 6 c h i p r . m c r 0 3 e z h j 1 5 5 1 r 3 0 9 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 3 1 0 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 3 1 5 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 3 1 6 r k 3 0 5 1 c h i p r . m c r 0 3 e z h j 1 2 3 1 r 3 1 8 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 3 1 9 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 3 2 0 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 3 2 2 rd0108 jumper j1/6z 1 r 3 2 4 r k 3 0 1 4 c h i p r . m c r 0 3 e z h j 1 0 0 1 r 3 2 5 r k 3 0 6 6 c h i p r . m c r 0 3 e z h j 2 2 4 1 r 3 2 6 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 3 2 7 r k 3 0 9 2 c h i p r . m c r 0 3 e z p f x 7 5 0 2 1 r 3 2 8 r d 3 0 1 3 r e s i s t o r e r x 1 s j 1 0 0 1 r 3 2 9 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 3 3 0 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 3 3 1 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 3 3 2 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 3 3 3 r k 3 0 6 2 c h i p r . m c r 0 3 e z h j 1 0 4 1 r 3 3 4 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 s h 1 0 1 t s 0 1 6 4 a c a s e v c o c a s e d r 2 3 5 1 t c 1 0 1 ct0012 trimmer c. ctz3s-10a-w1-p 1 t c 1 0 2 ct0012 trimmer c. ctz3s-10a-w1-p 1 t c 1 0 3 ct0012 trimmer c. ctz3s-10a-w1-p 1 t h 1 0 1 x s 0 0 3 1 t h e r m i s t o r n t c c m 1 6 0 8 4 b h 6 8 2 k c t 1 t h 1 0 2 x s 0 0 3 0 t h e r m i s t o r n t c c m 1 6 0 8 4 l h 2 2 3 k c t 1 r h 0 1 4 6 t r i m m e r r . m v r 2 2 h x b r n 4 7 3 1 r h 0 1 4 8 t r i m m e r r . m v r 2 2 h x b r n 1 0 4 1 r h 0 1 4 2 t r i m m e r r . m v r 2 2 h x b r n 1 0 3 1 r h 0 1 4 2 t r i m m e r r . m v r 2 2 h x b r n 1 0 3 1 r h 0 1 4 2 t r i m m e r r . m v r 2 2 h x b r n 1 0 3 1 r h 0 1 4 6 t r i m m e r r . m v r 2 2 h x b r n 4 7 3 1 r h 0 1 4 0 t r i m m e r r . m v r 2 2 h x b r n 4 7 2 1 x 1 0 1 x k 0 0 0 2 d i s c r i m i n a t o r c d b m 4 5 5 c 7 1 x 1 0 3 x q 0 1 1 2 x t a l u m - 5 2 1 . 2 5 0 m h z 1 x 1 0 4 x q 0 0 5 8 z x t a l u m 5 3 0 . 3 9 5 m h z 1 x f 1 0 1 x f 0 0 1 4 z x t a l f i l t e r 3 0 m 1 5 2 a 3 0 . 8 5 m h z 1 t z 0 0 7 2 s h e e t 1 u p 0 4 1 5 p . c . b d r 4 3 5 i n t e g r a t e d 1 s d 0 0 3 4 g n d s p r i n g d r 1 3 0 1 t z 0 0 4 9 s i l i c o n d u m p e r 2 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c 5 0 1 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 5 0 2 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 5 0 3 c u 3 0 4 7 c h i p c . c 1 6 0 8 j b 1 h 1 0 3 k t - n 1 c 5 0 4 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 5 0 5 c u 3 0 2 3 c h i p c . c 1 6 0 8 c h 1 h 1 0 1 j t - a s 1 c 5 0 6 c u 3 0 3 1 c h i p c . c 1 6 0 8 j b 1 h 4 7 1 k t - a s 1 c 5 0 7 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 0 8 c u 3 1 0 2 c h i p c . c 1 6 0 8 j b 1 c 3 3 3 k t - n s 1 c 5 0 9 c s 0 2 2 0 c h i p t a n t a l u m t m c m a 1 c 2 2 5 m t r 1 c 5 1 0 c s 0 2 2 0 c h i p t a n t a l u m t m c m a 1 c 2 2 5 m t r 1 c 5 1 1 c u 3 0 0 6 c h i p c . c 1 6 0 8 c h 1 h 0 5 0 c t - a s 1 c 5 1 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r v r 1 0 1 v r 1 0 2 v r 1 0 3 v r 1 0 4 v r 1 0 5 v r 1 0 6 v r 1 0 7 vco unit (dr-435) r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 c 5 1 3 c s 0 0 6 3 c h i p t a n t a l u m t m c s a 1 v 1 0 4 m t r 1 c 5 1 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 1 5 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 5 1 6 c u 3 0 1 9 c h i p c . c 1 6 0 8 c h 1 h 4 7 0 j t - a s 1 c 5 1 7 c u 3 0 0 6 c h i p c . c 1 6 0 8 c h 1 h 0 5 0 c t - a s 1 c 5 1 8 c u 3 0 0 4 c h i p c . c 1 6 0 8 c h 1 h 0 3 0 c t - a s 1 c 5 1 9 c u 3 0 0 2 c h i p c . c 1 6 0 8 c h 1 h 0 1 0 c t - a s 1 c 5 2 0 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 2 1 c u 3 0 0 3 c h i p c . c 1 6 0 8 c h 1 h 0 2 0 c t - a s 1 c 5 2 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 2 3 c s 0 3 7 2 c h i p t a n t a l u m t m c m b 1 c 1 0 6 m t r 1 c 5 2 4 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 2 5 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 2 6 c u 3 0 1 1 c h i p c . c 1 6 0 8 c h 1 h 1 0 0 d t - a s 1 c 5 2 7 c u 3 0 1 1 c h i p c . c 1 6 0 8 c h 1 h 1 0 0 d t - a s 1 c 5 2 8 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c 5 2 9 c u 3 0 0 6 c h i p c . c 1 6 0 8 c h 1 h 0 5 0 c t - a s 1 c n 5 0 1 u e 0 3 6 8 c o n n e c t o r a x n 3 9 3 0 1 6 1 3 1 d 5 0 1 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 d 5 0 2 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 d 5 0 3 x d 0 1 3 1 c h i p d i o d e 1 s v 2 1 4 t p h 4 1 i c 5 0 1 x a 0 3 5 2 i c m 6 4 0 7 6 g p 1 l 5 0 1 q c 0 1 0 1 c h i p i n d u c t o r l e r 0 1 5 t r 8 2 m 1 l 5 0 3 q a 0 0 9 3 c h i p i n d u c t o r q a 0 0 9 3 1 l 5 0 4 q c 0 1 0 1 c h i p i n d u c t o r l e r 0 1 5 t r 8 2 m 1 l 5 0 5 q c 0 0 9 6 c h i p i n d u c t o r l e r 0 1 5 t r 3 3 m 1 l 5 0 6 q c 0 4 3 0 c h i p i n d u c t o r m l f 1 6 0 8 d r 1 0 k - t 1 l 5 0 7 q c 0 4 3 0 c h i p i n d u c t o r m l f 1 6 0 8 d r 1 0 k - t 1 q 5 0 1 x t 0 1 2 4 c h i p t r a n s i s t o r 2 s c 4 2 1 5 - y ( t e 8 5 l ) 1 q 5 0 2 x e 0 0 1 0 c h i p f e t 2 s k 5 0 8 k 5 2 t 2 b 1 q 5 0 3 x t 0 1 2 5 c h i p t r a n s i s t o r 2 s c 4 2 4 5 - y ( t e 8 5 l ) 1 q 5 0 4 x t 0 1 2 5 c h i p t r a n s i s t o r 2 s c 4 2 4 5 - y ( t e 8 5 l ) 1 r 5 0 1 r k 3 0 3 0 c h i p r . m c r 0 3 e z h j 2 2 1 1 r 5 0 2 r k 3 0 2 2 c h i p r . m c r 0 3 e z h j 4 7 0 1 r 5 0 3 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 5 0 4 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 5 0 5 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 5 0 6 r k 3 0 0 1 c h i p r . m c r 0 3 e z h j 0 0 0 1 r 5 0 7 r k 3 0 4 7 c h i p r . m c r 0 3 e z h j 5 6 2 1 r 5 0 8 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 5 0 9 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r 5 1 0 r k 3 0 2 6 c h i p r . m c r 0 3 e z h j 1 0 1 1 r 5 1 1 r k 3 0 3 4 c h i p r . m c r 0 3 e z h j 4 7 1 1 r 5 1 2 r k 3 0 5 4 c h i p r . m c r 0 3 e z h j 2 2 3 1 r 5 1 3 r k 3 0 4 3 c h i p r . m c r 0 3 e z h j 2 7 2 1 r 5 1 4 r k 3 0 6 0 c h i p r . m c r 0 3 e z h j 6 8 3 1 r 5 1 5 r k 3 0 5 8 c h i p r . m c r 0 3 e z h j 4 7 3 1 r 5 1 6 r k 3 0 2 2 c h i p r . m c r 0 3 e z h j 4 7 0 1 r 5 1 7 r k 3 0 6 0 c h i p r . m c r 0 3 e z h j 6 8 3 1 r 5 1 8 r k 3 0 2 2 c h i p r . m c r 0 3 e z h j 4 7 0 1 r 5 1 9 r k 3 0 2 2 c h i p r . m c r 0 3 e z h j 4 7 0 1 r 5 2 0 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 5 2 1 r k 3 0 4 5 c h i p r . m c r 0 3 e z h j 3 9 2 1 r 5 2 2 r k 3 0 3 0 c h i p r . m c r 0 3 e z h j 2 2 1 1 r 5 2 3 r k 3 0 3 0 c h i p r . m c r 0 3 e z h j 2 2 1 1 r 5 2 4 r k 3 0 5 0 c h i p r . m c r 0 3 e z h j 1 0 3 1 r 5 2 5 r k 3 0 4 2 c h i p r . m c r 0 3 e z h j 2 2 2 1 r 5 2 6 r k 3 0 4 4 c h i p r . m c r 0 3 e z h j 3 3 2 1 r 5 2 7 c n 7 c n 6 r k 3 0 3 8 c h i p r . m c r 0 3 e z h j 1 0 2 1 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 u e 0 4 0 1 d s u b c o n n e c t o r k - d - 0 9 s - s e 1 1 1 u x 1 2 5 1 w i r e w i r e d s u b 1 1 1 e s 0 0 1 7 s p e a k e r 0 5 7 m 9 0 1 7 1 1 1 u x 1 0 4 7 w i r e s p e a k e r 1 1 1 a a 0 0 5 0 s c r e w m 2 . 6 + 6 f e / b . z n 6 6 6 ae0029 screw rdg-lna-w1(01) 2 2 2 a v 0 0 0 6 s c r e w b 2 . 6 + 8 f e / n i 1 6 1 6 1 6 a w 0 0 0 1 s c r e w 3 + 8 f e / n i 2 2 2 a z 0 0 4 2 w a s h e r 2 2 2 d p 0 1 2 7 l c d p a n e l d r 1 3 5 1 - - d p 0 1 3 5 l c d p a n e l d r 2 3 5 - 1 - d p 0 1 3 6 l c d p a n e l d r 4 3 5 - - 1 f f 0 0 1 5 c l o t h b l i n d c l o t h d r 1 1 0 2 2 2 f f 0 0 1 7 c l o t h b l i n d c l o t h d r 5 7 0 1 1 1 f g 0 2 7 3 r u b b e r o n a i r k e y r u b b e r 1 1 1 f g 0 3 2 0 s p c u s h i o n 1 1 1 k s 0 0 6 8 b o t t o m c a s e d r 1 3 5 1 1 1 t , e k s 0 0 7 0 b o t t o m c a s e g d r 1 3 5 1 1 1 t g , e g k z 0 1 0 5 f r o n t p a n e l d r 1 3 5 1 1 1 t , e kz0120 front panel g dr135 1 1 1 tg,eg n k 0 0 7 2 k n o b v o l d r 1 3 5 1 1 1 n k 0 0 7 3 k n o b d i a l d r 1 3 5 1 1 1 s s 0 0 9 3 c h a s s i s d r 1 3 5 1 1 1 t , e ss0095 chassis g dr135 1 1 1 tg,eg p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r mec hanical p a r t s http://www..net/ datasheet pdf - http://www..net/
4 3 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 s t 0 0 6 5 s p h o l d e r d r 1 3 5 1 1 1 st0066 sp fitting dr135 1 1 1 t g 0 0 3 4 s p h i m e l o n d r 1 3 5 1 1 1 ue0258 ant connector fm-m.d.r-(4) 1 1 1 y z 0 1 3 1 t a p e # 9 1 1 0 1 2 x 1 m m 6 0 6 0 6 0 d s 0 3 8 8 a m o d e l n a m e p l a t e 1 - 1 e , e g d s 0 4 2 9 m o d e l n a m e p l a t e 1 1 1 t , t g p r 0 3 0 9 l a b e l c e - m a r k l a b e l d j g 5 e 2 . 2 - 2 . 2 e , e g p r 0 4 5 1 l a b e l f c c p a r t 1 5 s e a l 1 1 1 t , t g p r 0 4 5 2 l a b e l f c c h o m e u s e s e a l 1 1 1 t , t g r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 h k 0 4 8 6 p a c k a g e i t e m c a r t o n d r 1 3 5 1 - hk0507 package item carton dr235t - 1 - t,tg h k 0 5 0 8 p a c k a g e i t e m c a r t o n d r 4 3 5 t - - 1 h m 0 2 0 3 c a r t o n b o x 5 p c s 0 . 2 0 . 2 0 . 2 hu0099 p.mtl/carton front dr605 1 1 1 hu0159 p.mtl/carton fixture 1 1 1 h u 0 1 6 1 p . m t l / c a r t o n f i x t u r e 5 p c s 0 . 4 0 . 4 0 . 4 p r 0 3 4 5 l a b e l t 3 3 3 t , t g p t 0 0 0 4 a l a b e l s e r i a l n o . f o r c a r t o n 2 2 2 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 adfm78 bracket dr130 1 1 1 1 - - p k 0 0 8 3 s c h e m a t i c d i a - 1 - t , t g e m s 5 7 m i c r o p h o n e r e m o t e c o n t r o l - 1 1 t , t g h p 0 0 0 9 p l a s t i c b a g 5 x 1 2 5 x 2 5 0 ( a d u a 3 8 ) 1 1 1 h p 0 0 3 5 p l a s t i c b a g 5 x 2 0 0 x 2 5 0 ( d r 1 3 5 ) 1 1 1 p h 0 0 0 9 a r e g i s t r a t i o n c a r d 1 1 1 t , t g p k 0 0 7 8 s c h e m a t i c d i a g r a m d r 1 3 5 g r a m d r 2 3 5 p k 0 0 8 5 s c h e m a t i c d i a g r a m d r 4 3 5 - - 1 p r 0 4 5 4 l a b e l s e c u r i t y s e a l t 2 2 2 p s 0 3 7 0 m a n u a l i n s t r u c t i o n d r 2 3 5 t 1 1 1 u x 1 2 5 9 w i r e s c r 1 1 1 1 u x 1 2 6 0 w i r e s c r 2 1 1 1 r e f . q t y n o . d r - 1 3 5 d r - 2 3 5 d r - 4 3 5 a a 0 0 1 3 s c r e w m 5 + 2 0 f e / z n 4 4 4 a e 0 0 1 2 s c r e w h e x h / d m 4 + 8 f e / b . z n 4 4 4 aj0003 screw t5+20 fe/zn 4 4 4 aj0003 nut n5x0.8 fe/zn 4 4 4 az0009 washer 5x9.2x1.3 fe/zn 4 4 4 az0010 washer 5x12x0.8 fe/zn 4 4 4 e f 0 0 0 5 f u s e f g b o 1 2 5 v 1 5 a 2 2 2 f m 0 0 7 9 z s p a n n e r d r 1 3 0 1 1 1 h p 0 0 0 6 p l a s t i c b a g 5 x 9 0 x 1 7 0 1 1 1 y z 0 1 2 1 t a p e t a p e 1 0 m m 2 2 2 p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r p a r t s n o . d e s c r i p t i o n p a r t s n a m e v e r p a c king p a r t s a ccessories a ccessories (screw set) a d u a 3 8 p o w e r c a b l e r - b 2 . 0 x 3 m r e c e p t . 1 5 a 1 1 1 e m s 5 3 m i c r o p h o n e 1 1 1 e , e g ems56 microphone 1 - - http://www..net/ datasheet pdf - http://www..net/
4 4 r e f . n o . p a r t s n o . d e s c r i p t i o n p a r t s n a m e q t y bat1 ed0006 battery br2032 1f2 1 c 1 c s 0 4 0 8 c h i p t a n t a l 6 m c m 1 5 6 m a t e r 1 c 2 c s 0 4 0 8 c h i p t a n t a l 6 m c m 1 5 6 m a t e r 1 c 3 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c4 cu3111 chip c. c1608jb1c104kt-n 1 c5 cu9018 chip c. c3216jb1c105mt-n 1 c6 cu3047 chip c. c1608jb1h103kt-n 1 c7 cu3047 chip c. c1608jb1h103kt-n 1 c8 cu3111 chip c. c1608jb1c104kt-n 1 c 9 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c10 c u3111 chip c. c1608jb1c104kt-n 1 c11 c u3111 chip c. c1608jb1c104kt-n 1 c12 c u9018 chip c. c3216jb1c105mt-n 1 c 1 3 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c14 c u3116 chip c. c1608ch1h471jt-as 1 c 1 5 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c 1 6 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c17 c u3045 chip c. c1608jb1h682kt-ns 1 c18 c u3045 chip c. c1608jb1h682kt-ns 1 c19 c u3047 chip c. c1608jb1h103kt-n 1 c20 c u9018 chip c. c3216jb1c105mt-n 1 c21 c u3111 chip c. c1608jb1c104kt-n 1 c 2 2 c u 3 0 3 5 c h i p c . c 1 6 0 8 j b 1 h 1 0 2 k t - a s 1 c23 c u3111 chip c. c1608jb1c104kt-n 1 c24 c u3004 chip c. c1608ch1h030ct-as 1 c25 c u3047 chip c. c1608jb1h103kt-n 1 c26 c u3027 chip c. c1608ch1h221jt-as 1 c27 c u3023 chip c. c1608ch1h101jt-as 1 c28 c u3111 chip c. c1608jb1c104kt-n 1 c29 c u3111 chip c. c1608jb1c104kt-n 1 c30 c u3111 chip c. c1608jb1c104kt-n 1 c31 c u3062 chip c. c1608ch1h160jt-a 1 c32 c u3111 chip c. c1608jb1c104kt-n 1 c 3 3 c s 0 0 4 9 c h i p t a n t a l t m c s a 1 c 1 0 5 m t r 1 c 3 4 c s 0 3 9 4 c h i p t a n t a l t m c m b 0 j 4 7 6 m t r 1 c35 c u3111 chip c. c1608jb1c104kt-n 1 c36 c u3019 chip c. c1608ch1h470jt-as 1 c37 c u3043 chip c. c1608jb1h472kt-ns 1 c38 c u3111 chip c. c1608jb1c104kt-n 1 c39 c u3047 chip c. c1608jb1h103kt-n 1 c40 c u3045 chip c. c1608jb1h682kt-ns 1 c41 c u3116 chip c. c1608ch1h471jt-as 1 c42 c u9018 chip c. c3216jb1c105mt-n 1 c 4 3 c u 3 0 3 9 c h i p c . c 1 6 0 8 j b 1 h 2 2 2 k t - a s 1 c 4 4 c u 3 0 5 1 c h i p c . c 1 6 0 8 j b 1 e 2 2 3 k t - n s 1 c45 c u3045 chip c. c1608jb1h682kt-ns 1 c 4 6 c u 3 0 3 9 c h i p c . c 1 6 0 8 j b 1 h 2 2 2 k t - a s 1 c48 c u3111 chip c. c1608jb1c104kt-n 1 c n 1 u e 0 4 0 2 c o n n e c t o r p i 2 8 b 1 1 m 1 d 1 x l 0 0 3 6 l e d s m l - 3 1 0 m t t 8 6 1 d 2 x l 0 0 3 5 l e d s m l - 3 1 0 u t t 8 6 1 d 3 x l 0 0 3 6 l e d s m l - 3 1 0 m t t 8 6 1 d 4 x l 0 0 3 6 l e d s m l - 3 1 0 m t t 8 6 1 d5 xd0291 diode ma729-tx 1 d6 xd0291 diode ma729-tx 1 i c 1 x a 0 6 7 8 i c t g t 0 2 1 0 q 1 i c 2 x a 0 4 6 3 i c t a 7 5 s 3 9 3 f ( t e 8 5 l ) 1 i c 3 x a 0 6 7 9 i c t m t 0 1 1 0 q 1 i c 4 x a 0 2 2 4 i c n j m 2 9 0 4 m t 1 1 i c 5 x a 0 3 2 6 i c n j m 2 9 0 3 ( t 1 ) 1 i c 6 x a 0 6 8 0 i c a d m 2 3 2 a a r n - r e e l 1 i c 7 x a 0 6 6 8 i c s - 8 0 8 2 9 a l n p - e a s - t 2 1 l 2 q b 0 0 4 4 c h i p c o i l b k 1 6 0 8 h m 6 0 1 - t 1 q1 xt0095 transister 2sc4081 t106r 1 q2 xt0094 transister 2sa1576a t106r 1 q3 xt0094 transister 2sa1576a t106r 1 q4 xt0094 transister 2sa1576a t106r 1 q 5 x e 0 0 2 9 f e t 2 s k 1 5 8 0 - t 1 1 q6 xt0095 transister 2sc4081 t106r 1 q 7 x u 0 0 7 8 t r a n s i s t e r u n 5 2 1 l - t x 1 q8 xt0095 transister 2sc4081 t106r 1 q9 xt0094 transister 2sa1576a t106r 1 q10 xt0095 transister 2sc4081 t106r 1 q11 xt0095 transister 2sc4081 t106r 1 r1 rk3062 chip r. mcr03ezhj104 1 r2 rk3062 chip r. mcr03ezhj104 1 r3 rk3062 chip r. mcr03ezhj104 1 r4 rk3062 chip r. mcr03ezhj104 1 r5 rk3034 chip r. mcr03ezhj471 1 r6 rk3034 chip r. mcr03ezhj471 1 r7 rk3034 chip r. mcr03ezhj471 1 r8 rk3032 chip r. mcr03ezhj331 1 r9 rk3038 chip r. mcr03ezhj102 1 r10 rk3050 chip r. mcr03ezhj103 1 r11 rk3066 chip r. mcr03ezhj224 1 r12 rk3038 chip r. mcr03ezhj102 1 r e f . n o . p a r t s n o . d e s c r i p t i o n p a r t s n a m e q t y r13 rk3038 chip r. mcr03ezhj102 1 r14 rk3038 chip r. mcr03ezhj102 1 r15 rk3038 chip r. mcr03ezhj102 1 r16 rk3038 chip r. mcr03ezhj102 1 r17 rk3050 chip r. mcr03ezhj103 1 r18 rk3050 chip r. mcr03ezhj103 1 r19 rk3038 chip r. mcr03ezhj102 1 r20 rk3038 chip r. mcr03ezhj102 1 r21 rk3053 chip r. mcr03ezhj183 1 r22 rk3054 chip r. mcr03ezhj223 1 r23 rk3050 chip r. mcr03ezhj103 1 r24 rk3071 chip r. mcr03ezhj564 1 r25 rk3050 chip r. mcr03ezhj103 1 r26 rk3050 chip r. mcr03ezhj103 1 r27 rk3050 chip r. mcr03ezhj103 1 r28 rk3048 chip r. mcr03ezhj682 1 r29 rk3050 chip r. mcr03ezhj103 1 r30 rk3044 chip r. mcr03ezhj332 1 r32 rk3042 chip r. mcr03ezhj222 1 r33 rk3050 chip r. mcr03ezhj103 1 r34 rk3051 chip r. mcr03ezhj123 1 r35 rk3051 chip r. mcr03ezhj123 1 r36 rk3054 chip r. mcr03ezhj223 1 r37 rk3051 chip r. mcr03ezhj123 1 r38 rk3051 chip r. mcr03ezhj123 1 r39 rk3050 chip r. mcr03ezhj103 1 r40 rk3051 chip r. mcr03ezhj123 1 r41 rk3054 chip r. mcr03ezhj223 1 r42 rk3044 chip r. mcr03ezhj332 1 r44 rk3001 chip r. mcr03ezhj000 1 r45 rk3030 chip r. mcr03ezhj221 1 r46 rk3057 chip r. mcr03ezhj393 1 r47 rk3050 chip r. mcr03ezhj103 1 r48 rk3050 chip r. mcr03ezhj103 1 r49 rk3046 chip r. mcr03ezhj472 1 r50 rk3038 chip r. mcr03ezhj102 1 r51 rk3061 chip r. mcr03ezhj823 1 r52 rk3058 chip r. mcr03ezhj473 1 r53 rk3054 chip r. mcr03ezhj223 1 r54 rk3001 chip r. mcr03ezhj000 1 r55 rk3062 chip r. mcr03ezhj104 1 r56 rk3064 chip r. mcr03ezhj154 1 r57 rk3058 chip r. mcr03ezhj473 1 r58 rk3050 chip r. mcr03ezhj103 1 r59 rk3001 chip r. mcr03ezhj000 1 r60 rk3044 chip r. mcr03ezhj332 1 r61 rk3001 chip r. mcr03ezhj000 1 r62 rk3060 chip r. mcr03ezhj683 1 r63 rk3029 chip r. mcr03ezhj181 1 r64 rk3050 chip r. mcr03ezhj103 1 r65 rk3050 chip r. mcr03ezhj103 1 r66 rk3059 chip r. mcr03ezhj563 1 r67 rk3050 chip r. mcr03ezhj103 1 r68 rk3054 chip r. mcr03ezhj223 1 r69 rk3050 chip r. mcr03ezhj103 1 r70 rk3050 chip r. mcr03ezhj103 1 r71 rk3050 chip r. mcr03ezhj103 1 r72 rk3050 chip r. mcr03ezhj103 1 r73 rk3001 chip r. mcr03ezhj000 1 r74 rk3058 chip r. mcr03ezhj473 1 r75 rk3062 chip r. mcr03ezhj104 1 r76 rk3064 chip r. mcr03ezhj154 1 r77 rk3030 chip r. mcr03ezhj221 1 r78 rk3050 chip r. mcr03ezhj103 1 r79 rk3050 chip r. mcr03ezhj103 1 r80 rk3058 chip r. mcr03ezhj473 1 r81 rk3058 chip r. mcr03ezhj473 1 r82 rk3044 chip r. mcr03ezhj332 1 r83 rk3074 chip r. mcr03ezhj105 1 r84 rk3050 chip r. mcr03ezhj103 1 r85 rk3046 chip r. mcr03ezhj472 1 r87 rk3062 chip r. mcr03ezhj104 1 r88 rk3062 chip r. mcr03ezhj104 1 v r 1 r h 0 1 4 2 t r i m . p o t m v r 2 2 h x b r n 1 0 3 1 v r 2 r h 0 1 4 2 t r i m . p o t m v r 2 2 h x b r n 1 0 3 1 w2 ux1253 connector wire tnc 1 x1 xq0124 xtal at-49 7.9872mhz 1 up0402 pcb e j41u (tnc ) 0.125 ff0033 velcro a 1 fg0040 cushion 2 tz0024 insulator lithium batt. 1 tz0056 insulator silicon 49u 1 y z 0 1 3 1 t a p e # 9 1 1 0 1 2 x 1 m m 2 5 tnc (ej41u) http://www..net/ datasheet pdf - http://www..net/
4 5 r e f . n o . p a r t s n o . d e s c r i p t i o n p a r t s n a m e q t y fd0001 floppy-disc (win2hd) 1 ff0034 velcro b 1 fg0040 cushion 1 hk0487 package item carton ej41u 1 h p 0 0 2 9 p l a s t i c b a g 5 x 1 0 0 x 1 0 0 1 h p 0 0 4 0 p l a s t i c b a g 8 x 1 3 0 x 2 0 0 1 pf0061 sheet ej41u 1 pr0449 label ej41u 1 p s 0 3 5 4 m a n u a l i n s t r u c t i o n e j 4 1 u 1 p s 0 3 5 5 m a n u a l i n s t - d i s c e j 4 1 u 1 u z 0 0 3 0 p l u g m p - 0 1 3 l c 3 . 5 m m p l u g 1 tnc (ej41u) p a c king p a r t s http://www..net/ datasheet pdf - http://www..net/
4 6 dr-135 adjustment 1 ) a d j u s t m e n t s p o t p o w e r s u p p l y v o l t a g e 1 3 . 8 v o u t p u t o f s s g i s a l l e m f i n d i c a t i o n i f w i t h o u t i n s t r u c t i o n , w i d e m o d e i f w i t h o u t i n s t r u c t i o n , s s g o u t p u t i s m o d 1 k h z w i d e d e v 3 . 5 k h z / d e v , n a r r o w d e v 1 . 7 5 k h z / d e v s t a n d a r d m o d u l a t i o n i s a l s o b a s e d a b o v e . s p e a k e r l o a d i s 8 a n d o u t p u t i s 5 0 ~ 1 0 0 m v . a t t e n t i o n : d o n ' t s e t t h e v a r i a b l e r e s i s t o r i n t o i t s o p e n p o s i t i o n . http://www..net/ datasheet pdf - http://www..net/
4 7 2 ) v c o a n d r x a d j u s t m e n t s p e c i f i c a t i o n i t e m a d j u s t m e n t fr equenc y 1 4 5 . 9 0 m h z t x m a i n t c 1 0 1 a d j u s t s o t h a t t x f r e q u e n c y b e c o m e s w i t h i n 1 4 5 . 9 0 m h z 1 0 0 h z v c o a d j u s t m e n t 1 3 6 . 0 0 m h z r x m a i n l 1 2 3 a d j u s t s o t h a t p d v o l t a g e b e c o m e s 1 . 5 v v c o c o n f i r m a t i o n 1 7 3 . 9 9 m h z r x m a i n c o n f i r m i f p d v o l t a g e b e c o m e s l e s s t h a n 7 . 3 v r x s i g n a l s e n s i t i v i t y a d j u s t m e n t 1 4 6 . 0 5 m h z 1 3 6 . 0 5 m h z 1 4 6 . 0 5 m h z 1 7 3 . 9 5 m h z m a i n l 1 0 5 , l 1 0 4 l 1 0 3 , l 1 0 2 r e p e a t e d l y a d j u s t s o t h a t t h e r x s e n s i t i v i t y b e c o m e s i n m a x i m u m . c o n f i r m : a t - 7 d b u s i n a d m o r e t h a n 1 2 d b a t - 8 d b u s i n a d m o r e t h a n 1 2 d b a t - 6 d b u s i n a d m o r e t h a n 1 2 d b s q u e l c h a d j u s t m e n t 1 4 6 . 0 5 m h z s s g o f f i n d i c a t i o n 0 1 m a i n v r 1 0 1 a d j u s t s o t h a t t h e s q u e l c h s t o p s a t p e r f e c t l y c l o s e l o c a t i o n s m e t e r a d j u s t m e n t 1 4 6 . 0 5 m h z s s g 2 0 d b u 1 k h z 3 . 5 k h z / d e v m a i n v r 1 0 2 a d j u s t s o t h a t a l l t h e i n d i c a t o r a p p e a r s c o n d i t i o n u n i t a d j . s p o t a d j u s t i n g m e t h o d 3 ) t x a d j u s t m e n t s p e c i f i c a t i o n h i p o w e r a d j u s t m e n t 1 4 6 . 0 0 m h z h i p o w e r m a i n v r 1 0 3 a d j u s t t o 5 0 . 0 1 . 0 w m i d p o w e r a d j u s t m e n t 1 4 6 . 0 0 m h z m i d p o w e r m a i n v r 1 0 4 a d j u s t t o 1 0 . 0 1 . 0 w l o w p o w e r c o n f i r m a t i o n 1 4 6 . 0 0 m h z l o w p o w e r m a i n c o n f i r m i f i t b e c o m e s 4 . 0 1 . 0 w m a x i m u m d e v i a t i o n a d j u s t m e n t 1 4 6 . 0 0 m h z m o d 1 k h z 4 0 m v e m f w i d e m a i n v r 1 0 7 4 . 5 0 . 1 k h z / d e v m a x i m u m d e v i a t i o n a d j u s t m e n t 1 4 6 . 0 0 m h z m o d 1 k h z 4 0 m v e m f n a r r o w m a i n v r 1 0 5 2 . 2 0 . 1 k h z / d e v m i c g a i n a d j u s t m e n t 1 4 6 . 0 0 m h z m o d 1 k h z 4 m v e m f w i d e m a i n v r 1 0 6 3 . 0 0 . 1 k h z / d e v c t c s s m o d u l a t i o n l e v e l c o n f i r m a t i o n 1 4 6 . 0 0 m h z 8 8 . 5 h z m a i n 8 0 0 2 0 0 h z / d e v 3 k h z l p f o n d c s m o d u l a t i o n l e v e l a d j u s t m e n t 1 4 6 . 0 0 m h z 2 5 5 c o d e m a i n v r 1 0 8 8 0 0 5 0 h z / d e v 3 k h z l p f o n 1 7 5 0 h z m o d u l a t i o n l e v e l c o n f i r m a t i o n 1 4 6 . 0 0 m h z 1 7 5 0 h z m a i n 3 . 0 0 . 5 k h z / d e v d t m f m o d u l a t i o n l e v e l c o n f i r m a t i o n 1 4 6 . 0 0 m h z dtmf p r e s s t h e v / m k e y d u r i n g t x m a i n i t e m c o n d i t i o n u n i t a d j . s p o t a d j u s t i n g m e t h o d 1 3 . 0 0 . 5 k h z / d e v http://www..net/ datasheet pdf - http://www..net/
4 8 4 ) r x t e s t s p e c i f i c a t i o n test item condition adj standard test standard note 1 3 6 . 0 5 m h z 1 4 6 . 0 5 m h z 1 7 3 . 9 5 m h z l e s s t h a n - 7 d b u l e s s t h a n - 8 d b u l e s s t h a n - 6 d b u l e s s t h a n - 6 d b u l e s s t h a n - 7 d b u l e s s t h a n - 5 d b u 1 4 6 . 0 5 m h z n a r r o w l e s s t h a n - 8 d b u l e s s t h a n - 7 d b u 1 2 d b s i n a d r x s e n s i t i v i t y 1 3 5 . 0 5 m h z l e s s t h a n 9 d b u l e s s t h a n 1 0 d b u a m 1 0 d b s / n w i d e r x d i s t o r t i o n n a r r o w l e s s t h a n 4 % l e s s t h a n 5 % s s g o u t p u t 3 0 d b u w i d e m o r e t h a n 4 0 d b m o r e t h a n 3 8 d b r x s / n n a r r o w m o r e t h a n 3 4 d b m o r e t h a n 3 2 d b s s g o u t p u t 3 0 d b u 0 . 3 ~ 3 k h z b p f o f f s q u e l c h o p e n s q u e l c h o p e n s s g o u t p u t - 1 0 d b u s q u e l c h s e n s i t i v i t y 1 4 6 . 0 5 m h z i n d i c a t i o n 0 2 s q u e l c h c l o s e s q u e l c h c l o s e s s g o u t p u t o f f s m e t e r 1 4 6 . 0 5 m h z 1 k h z 3 . 5 k h z / d e v a l l 2 0 d b u a l l 2 5 d b u d e c r e a s e a n d l e v e l a f o u t p u t 1 4 6 . 0 5 m h z m o r e t h a n 2 w m o r e t h a n 2 w s s g o u t p u t 3 0 d b u w i d e 5 0 0 h z / d e v o p e n a t 5 0 0 h z / d e v c t c s s s e n s i t i v i t y n a r r o w o p e n a t 2 5 0 h z / d e v o p e n a t 2 5 0 h z / d e v s s g o u t p u t 0 d b u 8 8 . 5 h z w i d e o p e n s w h e n t e s t e q u i p m e n t t x o p e n s w h e n t e s t e q u i p m e n t t x 2 5 5 c o d e d c s s e n s i t i v i t y n a r r o w o p e n s w h e n t e s t e q u i p m e n t t x o p e n s w h e n t e s t e q u i p m e n t t x 2 5 5 c o d e d r a i n c u r r e n t 1 4 6 . 0 5 m h z l e s s t h a n 0 . 6 5 a l e s s t h a n 0 . 6 5 a m a x v r p o w e r c u r r e n t 1 4 6 . 0 5 m h z l e s s t h a n 1 0 m a l e s s t h a n 1 0 m a p o w e r o f f h o w l i n g 1 4 6 . 0 5 m h z d o n t o c c u r d o n t o c c u r s s g o u t p u t 6 0 d b u m o d o f f m a x v r o p e n a t a p p e a r s a t a p p e a r s a t s s g l e v e l d e c r e a s e s m e t e r i s i n i s i n i s i n i s i n o f f http://www..net/ datasheet pdf - http://www..net/
4 9 5 ) t x t e s t s p e c i f i c a t i o n test item condition adj standard test standard note t x o u t p u t h i p o w e r 1 3 6 . 0 0 m h z 1 4 4 . 0 0 m h z 1 4 6 . 0 0 m h z 1 4 8 . 0 0 m h z 1 7 3 . 9 9 m h z m o r e t h a n 3 3 w 5 0 1 w m o r e t h a n 3 3 w m o r e t h a n 3 3 w 5 0 3 w 5 0 3 w 5 0 3 w m o r e t h a n 3 3 w t a , t a g o n l y t , t g o n l y t a , t a g o n l y t x o u t p u t m i d p o w e r 1 4 6 . 0 0 m h z 1 0 1 w 1 0 2 w t x o u t p u t l o w p o w e r 1 4 6 . 0 0 m h z 4 1 w 3 ~ 6 w d r a i n c u r r e n t 1 4 6 . 0 0 m h z l e s s t h a n 1 0 a l e s s t h a n 1 1 a f r e q u e n c y d e v i a t i o n 1 4 6 . 0 0 m h z w i t h i n 0 . 3 k h z w i t h i n 0 . 5 k h z s p u r i o u s 1 4 4 . 0 0 m h z 1 4 6 . 0 0 m h z 1 4 8 . 0 0 m h z 1 7 3 . 9 9 m h z m o r e t h a n 6 0 d b m o r e t h a n 6 5 d b m o r e t h a n 6 5 d b m o r e t h a n 6 5 d b m o r e t h a n 6 0 d b m o r e t h a n 5 5 d b m o r e t h a n 6 0 d b m o r e t h a n 6 0 d b m o r e t h a n 6 0 d b m o r e t h a n 5 5 d b t a , t a g o n l y m p o w e r i s a l s o t h e s a m e a s o f h p o w e r l e v e l w i d e 1 4 6 . 0 0 m h z 3 . 0 0 . 1 k h z / d e v 4 . 5 0 . 1 k h z / d e v 3 . 0 0 . 2 k h z / d e v 4 . 5 0 . 2 k h z / d e v m i c i n 4 m v e m f m i c i n 4 0 m v e m f m o d u l a t i o n l e v e l n a r r o w 1 4 6 . 0 0 m h z 2 . 2 0 . 1 k h z / d e v 2 . 2 0 . 2 k h z / d e v m i c i n 4 0 m v e m f c t c s s m o d u l a t i o n l e v e l w i d e 1 4 6 . 0 0 m h z 8 0 0 2 0 0 h z / d e v 8 0 0 2 0 0 h z / d e v 8 8 . 5 h z 3 k h z l p f o n w i d e 1 4 6 . 0 0 m h z 8 0 0 2 0 0 h z / d e v 8 0 0 2 0 0 h z / d e v c o d e 2 5 5 3 k h z l p f o n d c s m o d u l a t i o n l e v e l n a r r o w 1 4 6 . 0 0 m h z 4 5 0 1 0 0 h z / d e v 4 5 0 1 0 0 h z / d e v c o d e 2 5 5 3 k h z l p f o n 1 7 5 0 h z m o d u l a t i o n l e v e l w i d e 1 4 6 . 0 0 m h z 3 . 0 0 . 5 k h z / d e v 3 . 0 0 . 5 k h z / d e v d t m f m o d u l a t i o n l e v e l w i d e 1 4 6 . 0 0 m h z 3 . 0 0 . 5 k h z / d e v 3 . 0 0 . 5 k h z / d e v p r e s s d u r i n g t x m o d u l a t i o n d i s t o r t i o n 1 4 6 . 0 0 m h z l e s s t h a n 3 % l e s s t h a n 4 % w i d e m o r e t h a n 4 0 d b m o r e t h a n 3 8 d b t x s / n n a r r o w m o r e t h a n 3 4 d b m o r e t h a n 3 2 d b 0 . 3 ~ 3 k h z b p f o n 1 3 6 . 0 0 m h z a n d l s t a n d a r d t h e v / m k e y http://www..net/ datasheet pdf - http://www..net/
5 0 DR-235 adjustment 1 ) a d j u s t m e n t s p o t p o w e r s u p p l y v o l t a g e 1 3 . 8 v o u t p u t o f s s g i s a l l e m f i n d i c a t i o n i f w i t h o u t i n s t r u c t i o n , w i d e m o d e i f w i t h o u t i n s t r u c t i o n , s s g o u t p u t i s m o d 1 k h z w i d e d e v 3 . 5 k h z / d e v , n a r r o w d e v 1 . 7 5 k h z / d e v s t a n d a r d m o d u l a t i o n i s a l s o b a s e d a b o v e . s p e a k e r l o a d i s 8 a n d o u t p u t i s 5 0 ~ 1 0 0 m v . p d a t t e n t i o n : d o n ' t s e t t h e v a r i a b l e r e s i s t o r i n t o i t s o p e n p o s i t i o n . http://www..net/ datasheet pdf - http://www..net/
5 1 2 ) v c o a n d r x a d j u s t m e n t s p e c i f i c a t i o n i t e m c o n d i t i o n u n i t a d j u s t m e n t fr equenc y 2 2 4 . 9 0 m h z t x m a i n t c 1 0 1 a d j u s t s o t h a t t x f r e q u e n c y b e c o m e s w i t h i n 2 2 4 . 9 0 m h z 1 0 0 h z v c o a d j u s t m e n t 2 2 5 . 0 0 m h z r x v c o l 5 0 3 a d j u s t s o t h a t p d v o l t a g e b e c o m e s 2 . 2 v v c o c o n f i r m a t i o n 2 2 4 . 9 9 m h z t x v c o c o n f i r m i f p d v o l t a g e b e c o m e s l e s s t h a n 6 . 2 v r x s i g n a l s e n s i t i v i t y a d j u s t m e n t 2 2 3 . 5 0 m h z 2 1 6 . 0 5 m h z 2 2 3 . 5 0 m h z 2 5 0 . 0 5 m h z m a i n l 1 0 5 , l 1 0 4 l 1 0 3 , l 1 0 7 l 1 0 2 r e p e a t e d l y a d j u s t s o t h a t t h e r x s e n s i t i v i t y b e c o m e s i n m a x i m u m . c o n f i r m : a t - 7 d b u s i n a d m o r e t h a n 1 2 d b a t - 8 d b u s i n a d m o r e t h a n 1 2 d b a t - 3 d b u s i n a d m o r e t h a n 1 2 d b s q u e l c h a d j u s t m e n t 2 2 3 . 5 0 m h z s s g o f f i n d i c a t i o n 0 1 m a i n v r 1 0 1 a d j u s t s o t h a t t h e s q u e l c h s t o p s a t p e r f e c t l y c l o s e l o c a t i o n s m e t e r a d j u s t m e n t 2 2 3 . 5 0 m h z s s g 2 0 d b u 1 k h z 3 . 5 k h z / d e v m a i n v r 1 0 2 a d j u s t s o t h a t a l l t h e i n d i c a t o r a p p e a r s a d j . s p o t a d j u s t i n g m e t h o d 3 ) t x a d j u s t m e n t s p e c i f i c a t i o n h i p o w e r a d j u s t m e n t 2 2 3 . 5 0 m h z h i p o w e r m a i n v r 1 0 3 a d j u s t t o 2 5 . 0 1 . 0 w m i d p o w e r a d j u s t m e n t 2 2 3 . 5 0 m h z m i d p o w e r m a i n v r 1 0 4 a d j u s t t o 1 0 . 0 1 . 0 w l o w p o w e r c o n f i r m a t i o n 2 2 3 . 5 0 m h z l o w p o w e r m a i n c o n f i r m i f i t b e c o m e s 4 . 5 1 . 0 w m a x i m u m d e v i a t i o n a d j u s t m e n t 2 2 3 . 5 0 m h z m o d 1 k h z 4 0 m v e m f w i d e m a i n v r 1 0 7 4 . 5 0 . 1 k h z / d e v m a x i m u m d e v i a t i o n a d j u s t m e n t 2 2 3 . 5 0 m h z m o d 1 k h z 4 0 m v e m f n a r r o w m a i n v r 1 0 5 2 . 2 0 . 1 k h z / d e v m i c g a i n a d j u s t m e n t 2 2 3 . 5 0 m h z m o d 1 k h z 4 m v e m f w i d e m a i n v r 1 0 6 3 . 0 0 . 1 k h z / d e v c t c s s m o d u l a t i o n l e v e l c o n f i r m a t i o n 2 2 3 . 5 0 m h z 8 8 . 5 h z m a i n 8 0 0 3 0 0 h z / d e v 3 k h z l p f o n d c s m o d u l a t i o n l e v e l a d j u s t m e n t 2 2 3 . 5 0 m h z 2 5 5 c o d e m a i n v r 1 0 8 8 0 0 1 0 0 h z / d e v 3 k h z l p f o n 1 7 5 0 h z m o d u l a t i o n l e v e l c o n f i r m a t i o n 2 2 3 . 5 0 m h z 1 7 5 0 h z m a i n 3 . 0 0 . 5 k h z / d e v d t m f m o d u l a t i o n l e v e l c o n f i r m a t i o n 2 2 3 . 5 0 m h z dtmf p r e s s t h e v / m k e y d u r i n g t x m a i n 3 . 0 0 . 5 k h z / d e v i t e m c o n d i t i o n u n i t a d j . s p o t a d j u s t i n g m e t h o d 1 http://www..net/ datasheet pdf - http://www..net/
5 2 4 ) r x t e s t s p e c i f i c a t i o n test item condition adj standard test standard note 2 1 6 . 0 5 m h z 2 2 3 . 5 0 m h z 2 5 0 . 0 5 m h z l e s s t h a n - 7 d b u l e s s t h a n - 8 d b u l e s s t h a n - 3 d b u l e s s t h a n - 6 d b u l e s s t h a n - 7 d b u l e s s t h a n - 2 d b u 2 2 3 . 5 0 m h z n a r r o w l e s s t h a n - 8 d b u l e s s t h a n - 7 d b u 1 2 d b s i n a d r x s e n s i t i v i t y 2 2 3 . 5 0 m h z l e s s t h a n + 6 d b u l e s s t h a n + 7 d b u a m 1 0 d b s / n w i d e r x d i s t o r t i o n n a r r o w l e s s t h a n 4 % l e s s t h a n 5 % s s g o u t p u t 3 0 d b u w i d e m o r e t h a n 4 0 d b m o r e t h a n 3 8 d b r x s / n n a r r o w m o r e t h a n 3 4 d b m o r e t h a n 3 2 d b s s g o u t p u t 3 0 d b u 0 . 3 ~ 3 k h z b p f o f f s q u e l c h o p e n s q u e l c h o p e n s s g o u t p u t - 1 0 d b u s q u e l c h s e n s i t i v i t y 2 2 3 . 5 0 m h z i n d i c a t i o n 0 2 s q u e l c h c l o s e s q u e l c h c l o s e s s g o u t p u t o f f s m e t e r 2 2 3 . 5 0 m h z 1 k h z 3 . 5 k h z / d e v a l l 2 0 d b u a l l 2 5 d b u d e c r e a s e a n d l e v e l a f o u t p u t 2 2 3 . 5 0 m h z m o r e t h a n 2 w m o r e t h a n 2 w s s g o u t p u t 3 0 d b u w i d e 5 0 0 h z / d e v o p e n a t 5 0 0 h z / d e v c t c s s s e n s i t i v i t y n a r r o w o p e n a t 2 5 0 h z / d e v o p e n a t 2 5 0 h z / d e v s s g o u t p u t 0 d b u 8 8 . 5 h z w i d e o p e n s w h e n t e s t e q u i p m e n t t x o p e n s w h e n t e s t e q u i p m e n t t x 2 5 5 c o d e d c s s e n s i t i v i t y n a r r o w o p e n s w h e n t e s t e q u i p m e n t t x o p e n s w h e n t e s t e q u i p m e n t t x 2 5 5 c o d e d r a i n c u r r e n t 2 2 3 . 5 0 m h z l e s s t h a n 0 . 6 5 a l e s s t h a n 0 . 6 5 a m a x v r p o w e r c u r r e n t 2 2 3 . 5 0 m h z l e s s t h a n 1 0 m a l e s s t h a n 1 0 m a p o w e r o f f h o w l i n g 2 2 3 . 5 0 m h z d o n t o c c u r d o n t o c c u r s s g o u t p u t 6 0 d b u m o d o f f m a x v r o p e n a t a p p e a r s a t a p p e a r s a t i s i n i s i n i s i n i s i n o f f s s g l e v e l d e c r e a s e s m e t e r http://www..net/ datasheet pdf - http://www..net/
5 3 5 ) t x t e s t s p e c i f i c a t i o n test item condition adj standard test standard note t x o u t p u t h i p o w e r 2 2 2 . 0 0 m h z 2 2 3 . 5 0 m h z 2 2 4 . 9 9 m h z 2 5 1 w 2 5 1 w 2 5 1 w 2 5 3 w 2 5 3 w 2 5 3 w t x o u t p u t m i d p o w e r 2 2 3 . 5 0 m h z 1 0 1 w 1 0 2 w t x o u t p u t l o w p o w e r 2 2 3 . 5 0 m h z 4 . 5 1 w 3 ~ 6 w d r a i n c u r r e n t 2 2 3 . 5 0 m h z l e s s t h a n 7 a l e s s t h a n 8 a fr equenc y d e v i a t i o n 2 2 3 . 5 0 m h z w i t h i n 0 . 5 k h z w i t h i n 0 . 7 k h z s p u r i o u s 2 2 3 . 5 0 m h z 2 2 4 . 9 9 m h z m o r e t h a n 6 5 d b m o r e t h a n 6 5 d b m o r e t h a n 6 5 d b m o r e t h a n 6 0 d b m o r e t h a n 6 0 d b m o r e t h a n 6 0 d b m p o w e r i s a l s o t h e s a m e l e v e l w i d e 2 2 3 . 5 0 m h z 3 . 0 0 . 1 k h z / d e v 4 . 5 0 . 1 k h z / d e v 3 . 0 0 . 2 k h z / d e v 4 . 5 0 . 2 k h z / d e v m i c i n 4 m v e m f m i c i n 4 0 m v e m f m o d u l a t i o n l e v e l n a r r o w 2 2 3 . 5 0 m h z 2 . 2 0 . 1 k h z / d e v 2 . 2 0 . 2 k h z / d e v m i c i n 4 0 m v e m f c t c s s m o d u l a t i o n l e v e l w i d e 2 2 3 . 5 0 m h z 8 0 0 2 0 0 h z / d e v 8 0 0 2 0 0 h z / d e v 8 8 . 5 h z 3 k h z l p f o n w i d e 2 2 3 . 5 0 m h z 8 0 0 1 0 0 h z / d e v 8 0 0 2 0 0 h z / d e v c o d e 2 5 5 3 k h z l p f o n d c s m o d u l a t i o n l e v e l n a r r o w 1 4 6 . 0 0 m h z 5 0 0 1 0 0 h z / d e v 4 5 0 1 0 0 h z / d e v c o d e 2 5 5 3 k h z l p f o n 1 7 5 0 h z m o d u l a t i o n l e v e l w i d e 1 4 6 . 0 0 m h z 3 . 0 0 . 5 k h z / d e v 3 . 0 0 . 5 k h z / d e v d t m f m o d u l a t i o n l e v e l w i d e 1 4 6 . 0 0 m h z 3 . 0 0 . 5 k h z / d e v 3 . 0 0 . 5 k h z / d e v p r e s s d u r i n g t x m o d u l a t i o n d i s t o r t i o n 1 4 6 . 0 0 m h z l e s s t h a n 3 % l e s s t h a n 4 % w i d e m o r e t h a n 4 0 d b m o r e t h a n 3 8 d b t x s / n n a r r o w m o r e t h a n 3 4 d b m o r e t h a n 3 2 d b 0 . 3 ~ 3 k h z b p f o n 2 2 2 . 0 0 m h z a n d l s t a n d a r d a s o f h p o w e r t h e v / m k e y http://www..net/ datasheet pdf - http://www..net/
5 4 dr-435 adjustment 1 ) a d j u s t m e n t s p o t p o w e r s u p p l y v o l t a g e 1 3 . 8 v o u t p u t o f s s g i s a l l e m f i n d i c a t i o n i f w i t h o u t i n s t r u c t i o n , w i d e m o d e i f w i t h o u t i n s t r u c t i o n , s s g o u t p u t i s m o d 1 k h z w i d e d e v 3 . 5 k h z / d e v , n a r r o w d e v 1 . 7 5 k h z / d e v s t a n d a r d m o d u l a t i o n i s a l s o b a s e d a b o v e . s p e a k e r l o a d i s 8 a n d o u t p u t i s 5 0 ~ 1 0 0 m v . a t t e n t i o n : d o n ' t s e t t h e v a r i a b l e r e s i s t o r i n t o i t s o p e n p o s i t i o n . http://www..net/ datasheet pdf - http://www..net/
5 5 2 ) v c o a n d r x a d j u s t m e n t s p e c i f i c a t i o n a d j u s t m e n t fr equenc y 4 3 9 . 0 0 m h z t x m a i n t c 1 0 1 a d j u s t s o t h a t t x f r e q u e n c y b e c o m e s w i t h i n 4 3 9 . 0 0 m h z 1 0 0 h z v c o a d j u s t m e n t 4 2 5 . 0 0 m h z r x v c o l 5 0 3 a d j u s t s o t h a t p d v o l t a g e b e c o m e s 1 . 7 v v c o c o n f i r m a t i o n 5 1 1 . 9 5 m h z r x v c o c o n f i r m i f p d v o l t a g e b e c o m e s l e s s t h a n 9 . 0 v r x s i g n a l s e n s i t i v i t y a d j u s t m e n t 4 4 0 . 0 5 m h z 4 3 0 . 0 5 m h z 4 4 0 . 0 5 m h z 4 5 0 . 0 5 m h z m a i n t c 1 0 3 t c 1 0 2 l 1 0 3 , l 1 0 2 i t i s a t r a c k i n g g e n e r a t o r f r o m a n a n t e n n a c o n n e c t o r . - 3 0 d b m i s i n p u t t e d . a n d w h e n c n 1 0 9 i s s e e n w i t h a s p e c t r u m a n a l y z e r , b y t h e m a x i m u m g a i n , i t b e c o m e s a s i t i s s h o w n i n t h e f o l l o w i n g f i g u r e , a n d a p p e a r a n c e a d j u s t m e n t i s c a r r i e d o u t . 4 3 0 . 0 0 m 4 5 0 . 0 0 m a t - 7 . 5 d b u s i n a d m o r e t h a n 1 2 d b a t - 7 . 5 d b u s i n a d m o r e t h a n 1 2 d b a t - 7 . 5 d b u s i n a d m o r e t h a n 1 2 d b s q u e l c h a d j u s t m e n t 4 4 0 . 0 5 m h z s s g o f f i n d i c a t i o n 0 1 m a i n v r 1 0 1 a d j u s t s o t h a t t h e s q u e l c h s t o p s a t p e r f e c t l y c l o s e l o c a t i o n s m e t e r a d j u s t m e n t 4 4 0 . 0 5 m h z s s g 2 0 d b u 1 k h z 3 . 5 k h z / d e v m a i n v r 1 0 2 a d j u s t s o t h a t a l l t h e i n d i c a t o r a p p e a r s i t e m c o n d i t i o n u n i t a d j . s p o t a d j u s t i n g m e t h o d 3 ) t x a d j u s t m e n t s p e c i f i c a t i o n h i p o w e r a d j u s t m e n t 4 4 0 . 0 0 m h z h i p o w e r m a i n v r 1 0 3 a d j u s t t o 3 5 . 0 1 . 0 w m i d p o w e r a d j u s t m e n t 4 4 0 . 0 0 m h z m i d p o w e r m a i n v r 1 0 4 a d j u s t t o 1 0 . 0 1 . 0 w l o w p o w e r c o n f i r m a t i o n 4 4 0 . 0 0 m h z l o w p o w e r m a i n c o n f i r m i f i t b e c o m e s 5 . 0 1 . 0 w m a x i m u m d e v i a t i o n a d j u s t m e n t 4 4 0 . 0 0 m h z m o d 1 k h z 4 0 m v e m f w i d e m a i n v r 1 0 7 4 . 5 0 . 1 k h z / d e v m a x i m u m d e v i a t i o n a d j u s t m e n t 4 4 0 . 0 0 m h z m o d 1 k h z 4 0 m v e m f n a r r o w m a i n v r 1 0 5 2 . 2 0 . 1 k h z / d e v m i c g a i n a d j u s t m e n t 4 4 0 . 0 0 m h z m o d 1 k h z 4 m v e m f w i d e m a i n v r 1 0 6 3 . 0 0 . 1 k h z / d e v c t c s s m o d u l a t i o n l e v e l c o n f i r m a t i o n 4 4 0 . 0 0 m h z 8 8 . 5 h z m a i n 8 0 0 2 0 0 h z / d e v 3 k h z l p f o n d c s m o d u l a t i o n l e v e l c o n f i r m a t i o n 4 4 0 . 0 0 m h z 2 5 5 c o d e m a i n 8 0 0 2 0 0 h z / d e v 3 k h z l p f o n 1 7 5 0 h z m o d u l a t i o n l e v e l c o n f i r m a t i o n 4 4 0 . 0 0 m h z 1 7 5 0 h z m a i n 3 . 0 0 . 5 k h z / d e v d t m f m o d u l a t i o n l e v e l c o n f i r m a t i o n 4 4 0 . 0 0 m h z dtmf p r e s s t h e v / m k e y d u r i n g t x m a i n i t e m c o n d i t i o n u n i t a d j . s p o t a d j u s t i n g m e t h o d 3 . 0 0 . 5 k h z / d e v 1 http://www..net/ datasheet pdf - http://www..net/
5 6 4 ) r x t e s t s p e c i f i c a t i o n test item condition adj standard test standard note 3 5 0 . 0 5 m h z 4 3 0 . 0 5 m h z 4 4 0 . 0 5 m h z 4 5 0 . 0 5 m h z 5 1 1 . 9 5 m h z l e s s t h a n - 1 d b u l e s s t h a n - 7 . 5 d b u l e s s t h a n - 7 . 5 d b u l e s s t h a n - 7 . 5 d b u l e s s t h a n + 1 d b u l e s s t h a n 0 d b u l e s s t h a n - 6 . 5 d b u l e s s t h a n - 6 . 5 d b u l e s s t h a n - 6 . 5 d b u l e s s t h a n + 2 d b u r x s e n s i t i v i t y 4 4 0 . 0 5 m h z n a r r o w l e s s t h a n - 7 . 5 d b u l e s s t h a n - 6 . 5 d b u 1 2 d b s i n a d w i d e r x d i s t o r t i o n n a r r o w l e s s t h a n 4 % l e s s t h a n 5 % s s g o u t p u t 4 0 d b u w i d e m o r e t h a n 4 0 d b m o r e t h a n 3 8 d b r x s / n n a r r o w m o r e t h a n 3 4 d b m o r e t h a n 3 2 d b s s g o u t p u t 4 0 d b u 0 . 3 o f f s q u e l c h o p e n s q u e l c h o p e n s s g o u t p u t - 1 0 d b u s q u e l c h s e n s i t i v i t y 4 4 0 . 0 5 m h z i n d i c a t i o n 0 2 s q u e l c h c l o s e s q u e l c h c l o s e s s g o u t p u t o f f s m e t e r 4 4 0 . 0 5 m h z 1 k h z 3 . 5 k h z / d e v a l l 2 0 d b u a l l 2 5 d b u d e c r e a s e l e v e l a n d d e c r e a s e s m e t e r l e v e l a f o u t p u t 4 4 0 . 0 5 m h z m o r e t h a n 2 w m o r e t h a n 2 w s s g o u t p u t 4 0 d b u w i d e 5 0 0 h z / d e v o p e n a t o p e n a t 5 0 0 h z / d e v c t c s s s e n s i t i v i t y n a r r o w o p e n a t 2 5 0 h z / d e v o p e n a t 2 5 0 h z / d e v s s g o u t p u t 0 d b u 8 8 . 5 h z w i d e o p e n s e q u i p m e n t i s i n t x o p e n s e q u i p m e n t i s i n t x 2 5 5 c o d e d c s s e n s i t i v i t y n a r r o w o p e n s e q u i p m e n t i s i n t x o p e n s e q u i p m e n t i s i n t x 2 5 5 c o d e d r a i n c u r r e n t 4 4 0 . 0 5 m h z l e s s t h a n 0 . 7 a l e s s t h a n 0 . 7 a m a x v r p o w e r c u r r e n t 4 4 0 . 0 5 m h z l e s s t h a n 1 0 m a l e s s t h a n 1 0 m a p o w e r o f f h o w l i n g t p , t p g w i d e m o d e o t h e r n a r r o w m o d e d o n t o c c u r d o n t o c c u r s s g o u t p u t 6 0 d b u m o d o f f m a x v r ~ 4 4 0 . 0 5 m h z o f f a p p e a r s a t a p p e a r s a t w h e n t e s t w h e n t e s t w h e n t e s t w h e n t e s t 3 k h z b p f s s g http://www..net/ datasheet pdf - http://www..net/
5 7 5 ) t x t e s t s p e c i f i c a t i o n test item condition adj standard test standard note t x o u t p u t h i p o w e r 4 3 0 . 0 0 m h z 4 4 0 . 0 0 m h z 4 5 0 . 0 0 m h z 3 5 1 w 3 5 3 w 3 5 3 w 3 5 3 w t , t g o n l y t x o u t p u t m i d p o w e r 4 4 0 . 0 0 m h z 1 0 1 w 1 0 2 w t x o u t p u t l o w p o w e r 4 4 0 . 0 0 m h z 5 1 w 5 2 w d r a i n c u r r e n t 4 4 0 . 0 0 m h z l e s s t h a n 9 a l e s s t h a n 1 0 a fr equenc y d e v i a t i o n 4 4 0 . 0 0 m h z w i t h i n 0 . 5 k h z w i t h i n 1 . 0 k h z s p u r i o u s 4 4 0 . 0 0 m h z 4 5 0 . 0 0 m h z m o r e t h a n 6 2 d b m o r e t h a n 6 2 d b m o r e t h a n 6 2 d b m o r e t h a n 6 0 d b m o r e t h a n 6 0 d b m o r e t h a n 6 0 d b 450m hz t,tg only m a n d l s t a n d a r d p o w e r i s a l s o t h e s a m e a s o f h p o w e r l e v e l w i d e 4 4 0 . 0 0 m h z 3 . 0 0 . 1 k h z / d e v 4 . 5 0 . 1 k h z / d e v 3 . 0 0 . 2 k h z / d e v 4 . 5 0 . 2 k h z / d e v m i c i n 4 m v e m f m i c i n 4 0 m v e m f m o d u l a t i o n l e v e l n a r r o w 4 4 0 . 0 0 m h z 2 . 2 0 . 1 k h z / d e v 2 . 2 0 . 2 k h z / d e v m i c i n 4 0 m v e m f c t c s s m o d u l a t i o n l e v e l w i d e 4 4 0 . 0 0 m h z 8 0 0 2 0 0 h z / d e v 8 0 0 2 0 0 h z / d e v 8 8 . 5 h z 3 k h z l p f o n w i d e 4 4 0 . 0 0 m h z 8 0 0 2 0 0 h z / d e v 8 0 0 2 0 0 h z / d e v c o d e 2 5 5 3 k h z l p f o n d c s m o d u l a t i o n l e v e l n a r r o w 4 4 0 . 0 0 m h z 4 5 0 1 0 0 h z / d e v 4 5 0 1 0 0 h z / d e v c o d e 2 5 5 3 k h z l p f o n 1 7 5 0 h z m o d u l a t i o n l e v e l w i d e 4 4 0 . 0 0 m h z 3 . 0 0 . 5 k h z / d e v 3 . 0 0 . 5 k h z / d e v d t m f m o d u l a t i o n l e v e l w i d e 4 4 0 . 0 0 m h z 3 . 0 0 . 5 k h z / d e v 3 . 0 0 . 5 k h z / d e v p r e s s t h e v / m k e y d u r i n g t x m o d u l a t i o n d i s t o r t i o n 4 4 0 . 0 0 m h z l e s s t h a n 3 % l e s s t h a n 4 % w i d e m o r e t h a n 4 0 d b m o r e t h a n 3 8 d b t x s / n n a r r o w m o r e t h a n 3 4 d b m o r e t h a n 3 2 d b 0 . 3 ~ 3 k h z b p f o n 4 3 0 . 0 0 m h z http://www..net/ datasheet pdf - http://www..net/
5 8 p c b o a r d v i e w 1 ) c p u u n i t s i d e a d r - 1 3 5 ( u p 0 4 0 0 b ) d r - 2 3 5 ( u p 0 4 1 4 ) d r - 4 3 5 ( u p 0 4 1 5 ) 2 ) c p u u n i t s i d e b d r - 1 3 5 ( u p 0 4 0 0 b ) d r - 2 3 5 ( u p 0 4 1 4 ) d r - 4 3 5 ( u p 0 4 1 5 ) dr135 t , tg dr135 e, eg dr135 t a , t a g dr235 t , tg dr435 t , tg dr435 e,eg r2 r13 r15 r16 jp3 nc nc nc nc nc nc nc nc nc nc nc 0 0 0 jumper jumper jumper jumper jumper 0 0 0 0 0 0 nc nc nc nc nc http://www..net/ datasheet pdf - http://www..net/
5 9 3 ) m a i n u n i t s i d e a d r - 1 3 5 ( u p 0 4 0 0 b ) 4 ) m a i n u n i t s i d e b d r - 1 3 5 ( u p 0 4 0 0 b ) http://www..net/ datasheet pdf - http://www..net/
6 0 5 ) m a i n u n i t s i d e a d r - 2 3 5 ( u p 0 4 1 4 ) vco unit side a vco unit side b 6 ) m a i n u n i t s i d e b d r - 2 3 5 ( u p 0 4 1 4 ) http://www..net/ datasheet pdf - http://www..net/
6 1 7 ) m a i n u n i t s i d e a d r - 4 3 5 ( u p 0 4 1 5 ) vco unit side a vco unit side b 8 ) m a i n u n i t s i d e b d r - 4 3 5 ( u p 0 4 1 5 ) http://www..net/ datasheet pdf - http://www..net/
6 2 9 ) t n c u n i t s i d e a ( u p 0 4 0 2 ) ( d r - 1 3 5 t p o n l y ) option unit (ej41u) 1 0 ) t n c u n i t s i d e b ( u p 0 4 0 2 ) ( d r - 1 3 5 t p o n l y ) http://www..net/ datasheet pdf - http://www..net/
6 3 s c h m a t i c d i a g r a m 1 ) c p u u n i t d r - 1 3 5 / d r - 2 3 5 / d r - 4 3 5 dr135 t , tg dr135 e, eg dr135 t a , t a g dr235 t , tg dr435 t , tg dr435 e, eg r2 r13 r15 r16 jp3 nc nc nc nc nc nc nc nc nc nc nc 0 0 0 jumper jumper jumper jumper jumper 0 0 0 0 0 0 nc nc nc nc nc t o main cn102 t o main cn103 http://www..net/ datasheet pdf - http://www..net/
6 4 2 ) m a i n u n i t d r - 1 3 5 5.0v 1.1v 12.2v 0v 600mvp-p 300mvp-p 300mvp-p 300mvp-p 300mvp-p 7.6v 600mvp-p 3.5vp-p 100mvp-p 500mvp-p 7.8v 3.2v 0.6v 4.4v 0v 300mvp-p 4.9v 4.9v 4.5v 1.9v 600mvp-p 50mvp-p 600mvp-p 600mvp-p 2.5mvp-p t o option t o cpu cn1 t o c p u cn2 t o option cn6 cn7 rx in : 1khz 3.5khz/dev 60dbu tx in : 1khz 4mv e mf hi p o w e r http://www..net/ datasheet pdf - http://www..net/
6 5 3 ) m a i n u n i t d r - 2 3 5 t o cn6 option cn7 t o c p u cn2 t o c p u cn1 t o option http://www..net/ datasheet pdf - http://www..net/
6 6 4 ) m a i n u n i t d r - 4 3 5 t o cpu t o option cn1 t o cpu cn2 t o cn6 option cn7 rx in : 1khz 3.5khz/dev 60dbu tx in : 1khz 4mv emf hi p o w e r http://www..net/ datasheet pdf - http://www..net/
6 7 5 ) t n c u n i t ( d r - 1 3 5 t p o n l y ) o r o p t i o n cn6 cn7 t o main cn107 http://www..net/ datasheet pdf - http://www..net/
6 8 b l o c k d i a g r a m 1) dr-135 http://www..net/ datasheet pdf - http://www..net/
6 9 2) DR-235 http://www..net/ datasheet pdf - http://www..net/
7 0 3) dr-435 http://www..net/ datasheet pdf - http://www..net/
d e a l e r / d i s t r i b u t o r d j - 1 9 5 s e r v i c e m a n u a l a l i n c o , i n c . h e a d o f f i c e : t w i n 2 1 m i d t o w e r b u i l d i n g 2 5 f 1 - 6 1 , 2 - c h o m e , s h i r o m i , c h u o - k u , o s a k a 5 4 0 - 8 5 8 0 , j a p a n p h o n e : 0 6 - 6 9 4 6 - 8 1 5 0 f a x : 0 6 - 6 9 4 6 - 8 1 7 5 e - m a i l : e x p o r t @ a l i n c o . c o . j p u . s . a . : 438 amapola a v e ., suite 130, t orrance , ca 90501-6201, u . s.a. p h o n e : 3 1 0 - 6 1 8 - 8 6 1 6 f a x : 3 1 0 - 6 1 8 - 8 7 5 8 h t t p : / / w w w . a l i n c o . c o m / german y : e s c h b o r n e r l a n d s t r a s s e 5 5 , 6 0 4 8 9 f r a n k f u r t a m m a i n , g e r m a n y p h o n e : 0 6 9 - 7 8 6 0 1 8 f a x : 0 6 9 - 7 8 9 - 6 0 7 6 6 h t t p : / / w w w . a l i n c o . d e / c o p y r i g h t 2 0 0 0 a l i n c o , l n c . o s a k a j a p a n p r i n t e d i n j a p a n p m 0 0 6 3 http://www..net/ datasheet pdf - http://www..net/


▲Up To Search▲   

 
Price & Availability of DR-235

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X